The Communications
and Embedded Products
Source Book 2004
Covering the spectrum
of Intel communications
products
The Communications and Embedded Products Source Book May 2004
Intel Corporation
United States and Canada
Intel Corporation
Robert Noyce Building
2200 Mission College Boulevard
P.O. Box 58119
Santa Clara, CA 95052-8119
USA
Phone: (408) 765-8080
Europe
Intel Corporation (UK) Ltd.
Pipers Way
Swindon
Wiltshire SN3 1RJ
UK
Phone:
England (44) 1793 403 000
France (33) 1 4694 7171
Germany (49) 89 99143 0
Italy (39) 02 575 441
Israel (972) 2 589 7111
Netherlands (31) 20 659 1800
Asia Pacific
Intel Semiconductor Ltd.
32/F Two Pacific Place
88 Queensway, Central
Hong Kong SAR
Phone: (852) 2844-4555
Japan
Intel Kabushiki Kaisha
P.O. Box 300-8603 Tsukuba-gakuen
5-6 Tokodai, Tsukuba-shi
Ibaraki-ken 300-2635
Japan
Phone: (81) 298-47-8511
South America
Intel Semicondutores do Brasil
Av. Dr Chucri Zaidan, 940- 10th floor
Market Place Tower II
04583-906
Sao Paulo-SP-Brasil
Phone: (55) 11 3365 5500
Copyright © 2004 Intel Corporation
Intel, and the Intel logo are registered
trademarks of Intel Corporation.
* Other names and brands may be claimed
as the property of others.
Printed in USA/2004/10K/MD/HP
Order No. 272676-012
NOTE:
PLEASE ADJUST SPINE TO PROPER WIDTH
Welcome to The Intel Communications and Embedded Products Sourcebook—2004, your
complete reference guide for Intel’s Communications and Embedded products.
As you look through this sourcebook, you will note that all of the sections have been updated to include
the latest released products.
As this sourcebook will only be updated once a year,. we recommend you look on
www.developer.intel.com website to get the very latest product information.
Communications and
Embedded Products Source Book
Table of Contents
PROCESSORS AND CHIPSETS
Embedded Intel®Architecture Overview . . . . . . . . . . . . . . . . . . . . . . . . . 1
Intel®Personal Internet Client Architecture . . . . . . . . . . . . . . . . . . . . . .20
Embedded PXA Processor Overview . . . . . . . . . . . . . . . . . . . . . . . . . . .35
Intel XScale®Microarchitecture . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .38
INTEL®FLASH MEMORY FOR WIRELESS APPLICATIONS
Intel®Stacked Chip Scale Packaging Products . . . . . . . . . . . . . . . . . . . .41
1.8 Volt Intel StrataFlash®Wireless Memory (L18/L30) . . . . . . . . . . . .44
1.8 Volt Intel®Wireless Flash Memory (W18/W30) . . . . . . . . . . . . . . .44
Intel®Flash Memory Software . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .46
INTEL®FLASH MEMORY FOR EMBEDDED APPLICATIONS
3 Volt Intel StrataFlash®Memory (J3 Family) . . . . . . . . . . . . . . . . . . . .49
Intel®Advanced+ Boot Block Flash Memory (C3/B3 Family) . . . . . . .50
3 Volt Synchronous Intel StrataFlash®Memory (K3/K18 Family) . . . .50
Intel®Flash Memory Development Tools . . . . . . . . . . . . . . . . . . . . . . . .51
I/O PRODUCTS
Intel’s I/O Processors (IOP) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .55
NETWORK PROCESSORS
Intel®IXP2xxx Network Processor Product Line . . . . . . . . . . . . . . . . . .61
Intel®IXP4xx Network Processor Product Line . . . . . . . . . . . . . . . . . . .67
NETWORKING PRODUCTS
Workgroup and Enterprise Solutions . . . . . . . . . . . . . . . . . . . . . . . . . . . .73
ADVANCED ETHERNET CONTROLLERS—PCI BASED
Intel’s 10/100/1000 Ethernet Controllers (MAC/Phy) . . . . . . . . . . . . . .81
Intel’s 10/100 Ethernet Controllers (MAC/Phy) . . . . . . . . . . . . . . . . . . .83
OPTICAL PRODUCTS
Optical Products . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .85
TELECOM PRODUCTS
Silicon Transmission Products . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .111
Telecom Boards and Platforms . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .117
Telecom Boards . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .121
Telecom Platforms . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .146
Telecom Software . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .152
BRIDGE PRODUCTS
Transparent PCI-to-PCI Bridges . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .163
Transparent PCI-X Bridge . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .164
Non-transparent PCI-to-PCI Bridges . . . . . . . . . . . . . . . . . . . . . . . . . . .165
PCI-X Serial ATA Host Disk Controller . . . . . . . . . . . . . . . . . . . . . . . .166
MICROCONTROLLERS
MCS®96 Microcontrollers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .167
MCS®251 Microcontrollers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .174
MCS®51 Microcontrollers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .177
Interconnect Devices . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .179
SOFTWARE
Software for EID Products . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .181
Intel®Embedded Chipset Device Driver support matrix . . . . . . . . . . .186
COMMUNICATIONS AND EMBEDDED DEVELOPER’S NETWORKS
Intel®Communications Alliance . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .193
IntelPersonal Client Architecture Developer Network . . . . . . . . . . .194
DEVELOPMENT TOOLS AND SUPPORT
Comprehensive Support for Embedded Products . . . . . . . . . . . . . . . . .195
DISTRIBUTOR AND MANUFACTURING REPRESENTATIVES
Distributor and Manufacturing Representatives . . . . . . . . . . . . . . . . . . 203
developer.intel.com/design/intarch Processors and Chipsets
1
Embedded Intel®Architecture (IA) enables embedded system designers to choose from multiple levels of integration,
ranging from Intel®processors and chipsets to validated reference designs and highly integrated modular platforms.
Whatever level of integration you choose, Intel and its world-class ecosystem provide the building blocks and tools you need
to make your embedded solutions run better, faster and further.
Based on emerging industry standards, IA processors are compatible with leading operating systems and supported
by a broad third-party ecosystem. Modularity, scalability and standards-based design help customers accelerate
time-to-market and reduce cost.
Embedded Intel®Architecture chipsets provide a reliable platform for high-performance connected embedded applications
with the performance, stability, and reliability customers require for embedded computing applications. Intel®chipsets
and drivers can help reduce support costs, validation costs, and offer a variety of sell-up opportunities while still
providing flexibility and performance at value pricing.
Embedded IA reference designs and configurations support developers in a variety of market segments including
communications, digital security surveillance, interactive clients, storage, learning solutions and print imaging. They
may be used off-the-shelf as a market-ready design, or further customized to support additional value-added features.
Intel provides a wide selection of development kits designed to minimize customers’ development efforts and
facilitate quick time-to-market. Kits include supporting documents and evaluation software from independent software
vendors.
Intel provides developers with software resources and tools to accelerate the successful development and delivery of
IA-based solutions. Intel®Embedded Graphics Drivers specifically target the needs of embedded platform developers,
offering an alternative to drivers designed for the desktop and mobile market segments.
Implementation-ready boards and systems from Intel and third-party vendors are also available to meet your most
stringent time-to-market requirements: www.intel.com/info/eia.
Intel®XeonProcessor
The Intel®Xeonprocessor with 512 KB L2 cache and the Low Voltage Intel®Xeonprocessor are the solutions for
applications that demand the highest levels of performance in the communications market segment. The Low Voltage
Intel Xeon processor has the additional benefit of lower thermal design power, making it ideal for thermally-sensitive, space-
constrained environments. Based on the Intel NetBurst®microarchitecture, they feature a 400 MHz and 533MHz system bus,
512KB L2 cache, dual-processor capability, and Hyper-Threading Technology which allows a single physical processor to
process two threads of data simultaneously. Support for the Intel Xeon processor with 512 KB L2 cache includes 2.0, 2.4
and 2.8 GHz and 1.6, 2.0 and 2.4 GHz for the Low Voltage Intel Xeon processor.
The Intel Xeon processor with 512 KB L2 cache and the Low Voltage Intel Xeon processor are validated with the Intel®
E7500 and the Intel®E7501 chipsets. These chipset designs deliver maximized system bus, memory, and I/O bandwidth to
enhance performance, scalability, and end-user productivity.
INTEL®XEONPROCESSOR WITH 512KB L2 CACHE
CORE SPEED EXTERNAL L2 THERMAL DESIGN
PRODUCT NUMBER (GHz) BUS SPEED (MHz) CACHE POWER VOLTAGE TCAS PACKAGE
RK80532KE072512 2.8 533 512K 74.0W 1.5V 75°C 604-pin FC-mPGA-2p
RK80532KE056512 2.4 533 512K 65.0W 1.5V 74°C 604-pin FC-mPGA-2p
RN80532KC041512 2.0 400 512K 58.0W 1.5V 70°C 603-pinINT3
LOW VOLTAGE INTEL®XEONPROCESSOR
CORE SPEED EXTERNAL L2 THERMAL DESIGN
PRODUCT NUMBER (GHz) BUS SPEED (MHz) CACHE POWER VOLTAGE TCASE PACKAGE
RK80532EE056512 2.4 533 512K 40.0W 1.3V 81°C 604-pin FC-mPGA-2p
RK80532EC041512 2.0 400 512K 35.0W 1.3V 83°C 604-pin FC-mPGA-2p
RK80532EC025512 1.6 400 512K 30.0W 1.3V 81°C 604-pin FC-mPGA-2p
Embedded Intel
®
Architecture
Processors and Chipsets developer.intel.com/design/intarch
2
Intel®Pentium®4 Processor, Intel®Pentium®4 Processor with Hyper-Threading (HT)
Technology, and Intel®Pentium®4 processor - M
The Intel®Pentium®4 processor family, with Intel NetBurst®microarchitecture, delivers performance to meet the growing
demands of a new generation of leading-edge products, with scalability that helps minimize your total cost-of-ownership.
These embedded processors provide the performance headroom needed to meet the heavy application demands of
networking, communications and storage appliances, sophisticated interactive clients, industrial automation solutions, digital
security surveillance platforms, and imaging devices.
For reliable embedded platform designs, the Intel Pentium 4 processor is validated with the Intel®845 chipset, the Intel®
845E chipset, the Intel®845GV chipset, the Intel®852GME chipset, and the Intel®875P chipset. The Intel Pentium 4
processor - M is validated with the Intel 845E chipset. The Intel®Pentium®4 Processor with HT Technology is validated with
the Intel 875P chipset. Intel Pentium 4 processor-based platforms include integrated graphics, and support the high-
bandwidth I/O performance of USB 2.0 and Gigabit Ethernet networking. Rapid platform development is supported by the
latest operating systems, applications and Intel®Architecture development tools, as well as a variety of validated reference
designs from Intel. While incorporating Intel’s most advanced embedded processor technologies, these processors are
software-compatible with previous Intel®Architecture processors.
INTEL®PENTIUM®4 PROCESSOR WITH HYPER-THREADING TECHNOLOGY
CORE SPEED EXTERNAL L2 THERMAL DESIGN
PRODUCT NUMBER (GHz) BUS SPEED (MHz) CACHE POWER VOLTAGE TCASE PACKAGE
RK80546PG0801M 3.0 800 1 MB 103W 1.25–1.4V* 5°C–73.5°C FC-HPGA4 478
*Variable VID voltage. The Intel Pentium 4 processor with HT Technology ships with different voltage settings. For more detailed information, please refer to our Web site at
http://developer.intel.com/design/intarch/pentium4/pentium4.htm
INTEL®PENTIUM®4 PROCESSOR
CORE SPEED EXTERNAL L2 THERMAL DESIGN
PRODUCT NUMBER (GHz) BUS SPEED (MHz) CACHE POWER VOLTAGE TCASE PACKAGE
RK80532PCE072512 2.8 533 512K 68.4W 1.525V* 5°C–75°C 478 FC-PGA2
RK80532PC064512 2.6 400 512K 62.6W 1.525V* 5°C–72°C 478 FC-PGA2
RK80532PE056512 2.4 533 512K 59.8W 1.525V* 5°C–71°C 478 FC-PGA2
RK80532PC041512 2A 400 512K 54.3W 1.525V* 5°C–69°C 478 FC-PGA2
*Variable VID maximum voltage
INTEL®PENTIUM®4 PROCESSOR-M
CORE SPEED EXTERNAL L2 THERMAL DESIGN
PRODUCT NUMBER (GHz) BUS SPEED (MHz) CACHE POWER VOLTAGE TJUNCTION (MAX) PACKAGE
RH80532GC049512 2.2 400 512K 35.0W 1.3V 100°C µFC-PGA 478
RH80532GC029512 1.7 400 512K 30.0W 1.3V 100°C µFC-PGA 478
Intel®Pentium®M Processor
The Intel®Pentium®M processor is ideal for high-performance, low-power embedded computing, and is designed
specifically for communications, transaction terminal, interactive clients, and industrial automation applications. An
advanced microarchitecture enables the Pentium M processor to meet embedded computing demands today and in the future.
While incorporating new features and improvements, the Intel Pentium M processor remains software-compatible with
previous members of the Intel®microprocessor family. It supports uniprocessor designs, and delivers 3.2 GB of data per
second into and out of the processor. The Intel Pentium M processor is validated with the Intel E7501 chipset, expanding the
processor’s platform with a great balance of performance and I/O bandwidth capability for embedded computing segments.
This chipset provides up to 4 GB single- or dual-channel DDR200 memory, and features configurable optional Error
Correcting Code (ECC) operation.
CORE SPEED EXTERNAL L2 THERMAL DESIGN
PRODUCT NUMBER (GHz) BUS SPEED (MHz) CACHE POWER VOLTAGE TJUNCTION PACKAGE
RH80535GC0251M 1.6 400 1 MB 24.5W 1.484V 100°C µFC-PGA 478
RJ80535GC0251M 1.6 400 1 MB 24.5W 1.484V 100°C µFC-PGA 478
RJ80535LC0051M 1.1 400 1 MB 12W 1.180V 100°C µFC-PGA 478
developer.intel.com/design/intarch Processors and Chipsets
3
Intel®Pentium®III Processor
The Intel®Pentium®III processor is ideal for scalable high-performance applied computing applications. It is validated
with multiple chipsets for maximum flexibility and scalability. Combined with the Intel®840 chipset, the Intel Pentium III
processor provides high performance and bandwidth including dual processing support and a second PCI bus. The Intel®815,
Intel®815E, Intel®810 and Intel®810E2 chipsets utilize Intel®Graphics Technology, an integrated graphics platform which
provides more stability, higher quality graphics and a reduced OEM bill of materials cost. The Intel®440BX AGPset supports
ECC for the highest data integrity and ISA for legacy I/O. The Intel Pentium III processor with 512K cache is validated with
the Intel 815E chipset in uniprocessor mode as well as in dual-processing mode with chipsets from third-party manufacturers.
CORE SPEED EXTERNAL L2 THERMAL DESIGN
PRODUCT NUMBER (MHz) BUS SPEED (MHz) CACHE POWER (MAX) VOLTAGE TJUNCTION PACKAGE
RB80526PY600256 600 100 256K 19.6W 1.75V 82°C 370 FC-PGA
RB80526PY700256 700 100 256K 21.9W 1.75V 80°C 370 FC-PGA
RB80526PZ733256 733 133 256K 22.8W 1.75V 80°C 370 FC-PGA
RB80526PY850256 850 100 256K 25.7W 1.75V 80°C 370 FC-PGA
RB80526PZ866256 866 133 256K 26.1W 1.75V 80°C 370 FC-PGA
RB80526PY001256+ 1.0GHz 100 256K 29.0W 1.75V 75°C 370 FC-PGA
RB80526PZ001256 1.0GHz 133 256K 29.0W 1.75V 75°C 370 FC-PGA
RK80530KZ012512 1.26GHz 133 512K 29.5W 1.45V 69°C* 370 FC-PGA2
+ For existing embedded applications using the Intel®440BX chipset only. Drop ship only.
* Tcase,not Tjunction
Intel®Pentium®III Processor—Low Power
The Intel®Pentium®III processor—Low Power brings the performance of the Pentium III processor to thermally sensitive
and space-constrained embedded computing applications. It incorporates Intel’s 0.18-micron manufacturing process,
Dual Independent Bus (DIB) architecture and Advanced Transfer Cache, making it ideal for many performance-hungry,
thermally sensitive, and space-constrained embedded computing applications such as data communications,
telecommunications, industrial automation and transaction terminals.
The Pentium III processor—Low Power also supports MMXtechnology and Internet Streaming SIMD extensions,
enabling a more visual experience for the end user and allowing for new applications such as real-time video encoding and
speech recognition. Dynamic execution of software instructions within the core processing unit optimizes the workload on
the processor, increasing performance. This processor is validated with the Intel®440BX AGPset and Intel®440MX PCIset.
CORE SPEED EXTERNAL L2 THERMAL DESIGN
PRODUCT NUMBER (MHz) BUS SPEED (MHz) CACHE POWER (MAX) VOLTAGE TCASE PACKAGE
RJ80530KZ933512+ 933 133 512K 12.2W 1.15V 0°C–100°C 479 µFC-BGA
RJ80530KZ800512+ 800 133 512K 11.2W 1.15V 0°C–100°C 479 UFC-BGA
KC80526GY850256‡ 700‡ 100 256k 16.1W 1.35V 0°C–100°C 495 BGA
KC80526LY500256 500 100 256K 12.2W 1.35V 0°C–100°C 495 BGA
KC80526NY400256 400 100 256K 10.1W 1.35V 0°C–100°C 495 BGA
+ Supports dual processing when paired with third-party chipsets.
‡ Intel®Pentium®III processor at 850/700 MHz featuring Intel®SpeedSteptechnology (1.6V/1.35V respectively).
Intel®Pentium®III Processor—Low Power Module
The Intel®Pentium®III processor—Low Power module at 500 MHz provides a scalable solution for existing Intel®
Pentium®II processor—Low Power module designs at 266 and 333 MHz, and is the first low-power module to support
a 100 MHz system bus. The module includes the Intel Pentium III processor and northbridge of the 440BX AGPset.
Processors and Chipsets developer.intel.com/design/intarch
4
Intel®Pentium®II Processor—Low Power
The Intel®Pentium®II processor—Low Power provides a superior, low-profile, surface-mount solution for applications
combining high performance with low power.
CORE SPEED EXTERNAL L2 THERMAL DESIGN
PRODUCT NUMBER (MHz) BUS SPEED (MHz) CACHE POWER (MAX) VOLTAGE TJUNCTION PACKAGE
KC80524KX333256 333 66 256K 11.8W 1.6V 0°C–100°C 615 BGA
KC80524KX266256 266 66 256K 9.8W 1.6V 0°C–100°C 615 BGA
Intel®Celeron®M Processor
The Intel®Celeron®M processor is the next generation of value processors, providing exceptional performance combined
with low power. Built on the Intel®0.13-micron process, it is available at 1.3 GHz, in either µFC-PGA or µFC-BGA
packages, with 512 KB of on-die L2 cache. These processors are ideal solutions for communications appliances such as
media center appliances, network attached storage, Web pads and other applications with lower power envelopes and BOM
requirements. It features a high-performance, low-power core, Advanced Transfer Cache architecture, dynamic execution,
and is supported with the Intel®855GME chipset
CORE SPEED EXTERNAL L2 THERMAL DESIGN
PRODUCT NUMBER (GHz) BUS SPEED (MHz) CACHE POWER (MAX) VOLTAGE TJUNCTION PACKAGE
RJ80535NC013512 1.3 400 512 KB 24.5W 1.356V 0–100ºC 479 µFC-BGA
RH80535NC013512 1.3 400 512 KB 24.5W 1.356V 0–100ºC 478 µFC-PGA
Intel®Celeron®Processor
The Intel®Celeron®processor family provides an excellent solution for cost-sensitive applications requiring great per-
formance. It is compatible with Intel®815E, 815, 810E2 and 810 chipsets; Intel®82801E C-ICH; Intel 440BX AGPset
and 440MX chipset; Intel 815E and 810E2 (1.2 GHz), 845, 845E; 845GV, 852GME, and 875P chipsets (2.0 and 2.5 GHz).
CORE SPEED EXTERNAL L2 THERMAL DESIGN
PRODUCT NUMBER (MHz) BUS SPEED (MHz) CACHE POWER (MAX) VOLTAGE+ TCASE PACKAGE
RK80532RC060128 2.5 GHz 400 128K 61.0W (TDP) 1.525V 72°C FC-PGA2 478-pin
RK80532RC041128 2.0 GHz 400 128K 52.8W (TDP) 1.525V 5°C–68°C FC-PGA2 478-pin
RK80530RY009256 1.2 GHz 100 256K 32.1W 1.5V 70°C 370 FC-PGA2
RB80526PX850128 850 100 128K 26.7W 1.75V 80°C* 370 FC-PGA
RB80526RX733128 733 66 128K 23.6W 1.75V 80°C* 370 FC-PGA
RB80526RX566128 566 66 128K 19.2W 1.75V 90°C* 370 FC-PGA
FV80524RX433128 433 66 128K 24.1W 2.0V 5°C–85°C 370 PPGA
FV80524RX366128 366 66 128K 21.7W 2.0V 5°C–85°C 370 PPGA
FV80524RX300128 300A 66 128K 17.8W 2.0V 5°C–85°C 370 PPGA
* Max Tjunction
+ Variable VID maximum voltage. The Intel Celeron processor ships with different voltage settings. For detailed product specifications, please refer to http://developer.intel.com/design/celeron/datashts/251748.htm
Intel®Celeron®Processor—Low Power and Ultra Low Voltage
The Intel®Celeron®processor—Low Power and Ultra Low Voltage Intel®Celeron processor provide an exceptional value
for thermally sensitive and space-constrained embedded computing applications by combining the optimal balance of
cost, performance, and low power. The 400 MHz versions are validated with the Intel 440BX AGPset and Intel 440MX
PCIset. The Low Power processor at 300 MHz is validated with the Intel 440MX PCIset. The Ultra Low Voltage processor
at 650 MHz is validated with the Intel 440MX PCIset and Intel 815E chipsets.
INTEL®CELERON®PROCESSOR—LOW POWER
CORE SPEED EXTERNAL L2 THERMAL DESIGN
PRODUCT NUMBER (MHz) BUS SPEED (MHz) CACHE POWER (MAX) VOLTAGE TCASE PACKAGE
KC80526LY400A128 400A 100 128K 10.1W 1.35V 0°C–100°C 495 BGA
KC80526LL300128 300 100 128K 5.7W 1.10V 0°C–100°C 495 BGA
developer.intel.com/design/intarch Processors and Chipsets
5
ULTRA LOW VOLTAGE INTEL®CELERON®PROCESSOR
CORE SPEED EXTERNAL L2 THERMAL DESIGN
PRODUCT NUMBER (MHz) BUS SPEED (MHz) CACHE POWER (MAX) VOLTAGE TCASE PACKAGE
RJ80530VY650256 650 100 256K 8.3W 1.10V 0°C–100°C 479 µFC-BGA
RJ80530VY400256 400 100 256K 4.2W 0.95V 0°C–100°C 479 µFC-BGA
Intel®Pentium®Processor
The Intel®Pentium®processor is a valuable solution for embedded applications, featuring superscalar architecture, branch
prediction, separate code and data caches, a high-performance floating-point unit, enhanced 64-bit data bus, data
integrity features, performance monitoring, and execution tracing. Voltage Reduction Technology (VRT) is available with the
133 MHz version resulting in lower power consumption.
CORE SPEED EXTERNAL THERMAL DESIGN
PRODUCT NUMBER (MHz) BUS SPEED (MHz) POWER (MAX) VOLTAGE TCASE PACKAGE
A8050266166 166 66 14.5W 3.3V 0°C–70°C 296 SPGA
A8050266133 133 66 11.2W 3.3V 0°C–70°C 296 SPGA
A80502CSLM66133 133* 66 7.9W 3.1V 0°C–85°C 296 SPGA
A8050266100 100 66 10.1W 3.3V 0°C–70°C 296 SPGA
* With Voltage Reduction Technology (VRT)
Intel®Pentium®Processor with MMXTechnology and Low-Power Intel®Pentium®Processor
with MMXTechnology
From point-of-sale (POS) terminals and retail kiosks to advanced networking equipment, Pentium®processors with
MMXtechnology enable developers of embedded systems to step up to new levels of performance. To make these
designs even easier and more flexible, Intel is making the performance advantages of MMX technology available at a choice
of integration levels:
Longer life cycle support for the 200 MHz and 233 MHz versions
Low-power Pentium processors with MMX technology at 166 MHz and 266 MHz
Synchronous DRAM [SDRAM] support with the Intel®430TX PCIset
INTEL®PENTIUM®PROCESSOR WITH MMXTECHNOLOGY
CORE SPEED EXTERNAL THERMAL DESIGN
PRODUCT NUMBER (MHz) BUS SPEED (MHz) POWER (MAX) VOLTAGE TCASE PACKAGE
FV8050366233 233 66 17.0W 2.8V 0°C–70°C 296 PPGA
FV8050366200 200 66 15.7W 2.8V 0°C–70°C 296 PPGA
LOW-POWER INTEL®PENTIUM®PROCESSOR WITH MMXTECHNOLOGY
CORE SPEED EXTERNAL THERMAL DESIGN
PRODUCT NUMBER (MHz) BUS SPEED (MHz) POWER (MAX) VOLTAGE TCASE PACKAGE
FV80503CSM66166 166 66 4.5W 1.9V 0°C–85°C 296 PPGA
FV80503CSM66266 266 66 7.6W 1.9V 0°C–85°C 296 PPGA
GC80503CSM66166 166 66 4.1W 1.8V 0°C–95°C 352 HL-PBGA
GC80503CSM66266 266 66 7.6W 2.0V 0°C–95°C 352 HL-PBGA
GC80503CS166EXT 166 66 4.1W 1.8V -40°C–+115°C 352 HL-PBGA
Processors and Chipsets developer.intel.com/design/intarch
6
SL Enhanced Intel486Processor Overview
The Intel486microprocessors provide 32-bit high performance in increasingly complex application environments.
Current customers of the embedded Intel386processor can take advantage of the Intel486 processor architecture to
extend the performance of their embedded designs.
The Intel®SL Technology featured in the Intel486 processors, allow designers to build intelligent power management
capabilities into hardware, making these capabilities independent of application software. Power management becomes an
integral part of the system, regardless of what operating system or application is used. Power management is improved
because Intel SL Technology protects the power management features from conflicting with other software.
FEATURES BENEFITS
32-bit address Memory Management Unit (MMU) High performance and compatibility. The Intel486MMU is
compatible with the Intel386processor MMU
Intel®SL Technology for intelligent power management capabilities Capabilities. Application-independent power management Energy
Static design efficiency. Intel SL Technology enables embedded system designs that
Automatic on-chip clock control exceed the Environmental Protection Agency’s (EPA) Energy Star
Stop Clock, Stop Grant, Auto Halt Power Down, I/O Restart program guidelines without compromising performance
System Management Mode (SMM)
Single-cycle instruction execution Speed and efficiency. Faster execution
On-chip unified code and data cache Increased performance and efficiency. Reduces external memory
fetches and decreases bus traffic
Burst data bus mode Speed. Supports demanding data-intense applications by enabling fast
cache fills
JTAG boundary scan Diagnostics. Allows for in-system processor diagnostics
Intel486SX Embedded Processor Overview
The embedded Intel486SX processor provides high performance to 32-bit, embedded applications that do not require
a floating-point unit. The embedded Intel486 SX processor is binary-compatible with the Intel386 and earlier Intel
processors. Compared with the Intel386 processor, it provides faster execution of many commonly used instructions.
It also provides the benefits of an integrated, 8-Kbyte, write-through cache for code and data. Its data bus can operate in
burst mode, which provides up to 106 Mbps transfers for cache-line fills and instruction prefetches. Two component packages
are available: a 196-lead Plastic Quad Flat Pack (PQFP), and a 168-Pin Grid Array (PGA), both available for 5 volt designs.
Both products operate at CLK frequencies up to 33 MHz.
Ultra-Low-Power Intel486SX/GX Embedded Processor Overview
The Ultra-Low-Power Intel486SX/GX embedded processors were developed specifically for the embedded market.
They bring strong performance to low-cost, entry-level, embedded applications, where maximum energy efficiency is a
high concern. These low-power technology improvements allow for new packaging options for the Intel486 processor. The
Ultra-Low-Power Intel486 SX/GX processors come in the 176 lead Thin Quad Flat Pack (TQFP) package. This is the
smallest, lowest profile Intel486 processor in the world. At 25.4 mm x 25.4 mm x 1.5 mm, the 176 lead TQFP package is the
same size and thickness as a United States quarter (25¢ coin)!
developer.intel.com/design/intarch Processors and Chipsets
7
FEATURES BENEFITS
Dual Vcc design for standard 3.3V I/O VccP and lower core Vcc Efficiency. Ideal for battery-powered, portable applications
Digital phase lock loop or DDL for minimum clock start-up time Maximizes power savings. The DDL takes a maximum of 8 input clock
periods (240ns at 33 MHz) to synchronize, approximately 4,000 times
faster than a standard Intel486processor with PLL design
32-bit external data path in the Ultra-Low-Power Intel486SX Performance and flexibility. Multiple system design options with true
16-bit external data path in the Ultra-Low-Power Intel486GX 32-bit architecture
On-chip 8K unified code and data cache Increased performance and efficiency. Reduces access cycles to
external memory
Burst data bus capable of: Cost reduction. Maintains system throughput with inexpensive DRAMs
59 MB/sec transfer at 33 MHz, or DRAMs
176-lead TQFP and product packaging Compact. Ideal for the most compact designs. This is the smallest, lowest
profile Intel486 processor in the world!
IntelDX2and IntelDX4Processor Overview
The IntelDX2and IntelDX4processors bring the highest level of performance in the Intel486 processor family, created
by such combined features as speed-multiplying technology, on-chip integration of Level I unified code and data cache,
memory management unit with paging, and floating-point unit. The clock-multiplier allows the processor to operate at
frequencies higher than the external memory bus. The integer unit uses RISC design techniques to provide single-clock-cycle
execution of common instructions and general-purpose registers for manipulating 32-bit addresses and data. The 8K on-chip
Write-Through unified cache on the speed-doubled IntelDX2 processor, and the 16K on-chip Write-Back Enhanced unified
cache on the speed-tripled IntelDX4 processor maintains the one-clock-per-instruction execution rate. Intel486 processors
provide support for multiprocessing systems. Support for multi-level caches reduces bus utilization, allowing multiple
Intel486 processors to share a single memory bus. For the highest levels of performance, choose the IntelDX2 and IntelDX4
processors.
FEATURES BENEFITS
Complete 32-bit RISC-technology integer core and Performance. For the most data-intense applications
32-bit external data bus
On-chip floating-point unit Performance. Highest Intel®x86 integration reduces inter-chip
communication
IntelDX2processor speed doubling: Performance and flexibility. Multiple frequency choices provide greater
66 MHz core speed using 33 MHz bus clock at 5V flexibility in system designs
50 MHz core speed using 25 MHz bus clock at 3.3V The IntelDX4 processor supports both speed-doubling and speed-tripling
IntelDX4processor speed tripling: technology
100 MHz core speed using 33 MHz bus clock at 3.3V
Burst data bus: Performance. For instruction prefetch and for filling the internal cache
80Mbps max. burst bus cycle at 25 MHz
106Mbps max. burst bus cycle at 33 MHz
INTEL486PROCESSOR (not all speeds are available in all packages)
SYS CORE BURST
SPEED I/O SERIAL TIMERS/ STATIC MGT A20 DMA CHIP INTERRUPT DRAM SPEED DTAT INPUT
PRODUCT (MHz) PINS PORTS CTRS DESIGN MODE GATE CHAN WDT SELECT CLR REFRESH MULTI CACHE BUS LEVELS VOLTAGE PACKAGE TEMP
INTEL486PROCESSOR FAMILY
80486DX4 100 0 NO 0 YES YES NO 0 NO 0 NO NO 3X CLK 16Kb, YES CMOS 3.3V A168, C
32-bit bus WB FC208
80486DX2 50, 66 0 NO 0 YES YES NO 0 NO 0 NO NO 2X CLK 8Kb, WT YES CMOS 5.0V–3.3V A168, C
32-bit bus SB208
80486SX 33 0 NO 0 YES YES NO 0 NO 0 NO NO N/A 8Kb, WT YES CMOS 5.0V A168, C
32-bit bus KU196
80486SXSF 33 0 NO 0 YES YES NO 0 NO 0 NO NO N/A 8Kb, WT YES CMOS 3.3V FA176 C
32-bit bus
80486GXSF 33 0 NO 0 YES YES NO 0 NO 0 NO NO N/A 8Kb, WT YES CMOS 3.3V FA176 C
16-bit bus
Processors and Chipsets developer.intel.com/design/intarch
8
Intel386Processor Overview
For over 10 years, Intel386 processors have provided the computer industry with reliability and high performance. Initially
built as the host CPU for PCs, these processors are still readily available and continue to provide 32-bit processing power
for embedded applications. Thanks to the Intel386 processor core design, the new pin-for-pin compatible family enables
higher performance, extended temperatures and lower power and voltage capabilities for embedded systems than was
previously possible.
FEATURES BENEFITS
32-bit CPU Performance. Performance processing at 5 to 10 MIPS
80386 instruction set Compatibility. Compatibility with all PC applications, development
and operating system software
16 MB–4 GB addressability Expandable. Head room for software growth for future system product
enhancements
Protected mode Security. Ability to develop large, OS-based embedded system;
provides restricted access privileges
SL enhanced SMM support Capabilities. Application-independent power management
Intel386EX Integrated Processor Overview
The Intel386EX processor provides high integration and PC compatibility for embedded applications. Intel based the EX
on the same static Intel386 processor 32-bit core, and incorporated PC-compatible and traditional embedded peripherals to
provide a high-performance, extended temperature, low power, low voltage and an integrated embedded solution.
FEATURES BENEFITS
PC-compatible peripherals (8254, 8259A, enhanced 8237A) Portability. Easy portability of application software developed on a PC
16450-compatible serial ports Compatibility. Standard protocol familiar and well supported within
the industry
DMA-supported serial transfers Efficient. Reduces CPU load
Dynamic bus sizing enables interface to 8- or 16-bit peripherals Reduces costs. Lowers total system cost
Synchronous serial I/O Boosts rates. Provides higher data transfer rates (baud rate generator
on-chip vs. standard Intel386processors)
JTAG boundary scan Diagnostics. Allows for in-system processor diagnostics
INTEL386PROCESSOR (not all speeds are available in all packages)
SYS
SPEED I/O SERIAL TIMERS/ STATIC MGT A20 ADD DMA CLK PWR CHIP INTERRUPT DRAM INPUT
PRODUCT (MHz) PINS PORTS CTRS DESIGN MODE GATE SPACE CHAN WDT GEN OPTIONS SELECT CTLR REFRESH LEVELS VOLTAGE PACKAGE TEMP
INTEL386PROCESSOR FAMILY
80386SX 20, 25, 0 NO 0 NO NO NO 16M 0 NO NO NO 0 NO NO TTL 5.0V NG100 C
33
80386DX 20, 25, 0 NO 0 NO NO NO 4G 0 NO NO NO 0 NO NO TTL 5.0V A132, C
33 NG132
80386SXTA 25, 33, 0 NO 0 YES NO NO 16M 0 NO NO NO 0 NO NO TTL 5.0V KU100 C, E
40
INTEL386INTEGRATED PROCESSOR FAMILY
80386EXTB 25 24 3 3 YES YES YES 64M 2 YES NO PD, I 8 YES YES TTL 3.3V KU132, E
(8259A) FA144
80386EXTC 25 24 3 3 YES YES YES 64M 2 YES NO PD, I 8 YES YES TTL 5.0V KU132, E
(8259A) FA144
80386EXTC 33 24 3 3 YES YES YES 64M 2 YES NO PD, I 8 YES YES TTL 5.0V KU132, E
(8259A) FA144
developer.intel.com/design/intarch Processors and Chipsets
9
Intel386Processor Development Tools
Because the Intel386 processor is a member of the Intel architecture family, the leading architecture used in PCs, a broad
range of familiar, low-cost development tools are readily available.
Growth and competition in the PC industry have produced tools that are time-proven and run on the PC, which eliminates
the need for expensive workstation-based development tools. For example, the Microsoft* and Borland* development tools,
used to create more than 50,000 PC applications, can now be used in an embedded environment. For more information about
development tools support, refer to the Tools section in this catalog or contact your Intel sales representative or visit our Web
site at http://appzone.intel.com/toolcatalog/.
Intel®186 Processor Overview
For the Intel®186 standard processor family, the numbers tell the story: more than 10,000 design wins and 100 million
units have shipped in its 16 years of production. With the Intel 186 family of products, you can determine the cost and
performance requirements for your embedded design and then choose from a wide variety of options: CHMOS, 8- and 16-bit
external bus versions and available in commercial/extended temperatures.
The 80C186/188XL family is pin-for-pin compatible with the 80186/188 family and adds an enhanced feature set. The
high-performance CHMOS process allows the 80C186/188XL to run at twice the clock rate of the HMOS 80186/188 while
consuming less than one-fourth the power.
FEATURES BENEFITS
16-bit static CPU (XL operating at 25 MHz) Performance. Performance >1 MIP
8086 instruction set Development. Use PC for easier software development and debugging
1-MB addressing Memory. Large address space (for programs and data). Can also use bank
switching for > 1 MB
On-chip peripherals Integration. High x86 integration enables low-cost and low-chip-count
designs
8-bit external bus available Flexibility. Lower memory and system cost
Multiple packaging options 68L (LCC, PLCC, PGA) Packaging. Supports varied system requirements
and 80L (QFP, SQFP)
CMOS inputs and outputs Noise. Improved noise margins
Intel®186 Enhanced Processor Overview
In 1990, Intel designed these processors to meet performance, integration and power consumption needs. Intel created a
modular core architecture in order to easily proliferate the family. The 80C18xEX (EA/EB/EC) family features an
improved 1.0-micron static core design, and all of the enhanced products run at 25 MHz. In addition, the Ex family products
incorporate new features (serial channels, DRAM refresh control and power management) to provide more functionality.
The Ex core has also been enhanced to run at 3 volts.
FEATURES BENEFITS
3-stage power management unit Power management. Efficient power consumption
3V versions Low power. Enables portable, battery-powered designs
Watchdog timer (EC only) High integration. Ensures system integrity in hostile environments
Serial channels (EB/EC only) Avoid interrupt latency. Facilitates interprocessor communication
and modem interface
I/O ports (EB/EC only) Communication. Ability to communicate externally via standard protocols
Processors and Chipsets developer.intel.com/design/intarch
10
INTEL®186 PROCESSOR (not all speeds are available in all packages)
SYS
SPEED I/O SERIAL TIMERS/ STATIC MGT A20 ADD DMA CLK PWR CHIP INTERRUPT DRAM INPUT
PRODUCT (MHz) PINS PORTS CTRS DESIGN MODE GATE SPACE CHAN WDT GEN OPTIONS SELECT CTLR REFRESH LEVELS VOLTAGE PACKAGE TEMP
INTEL®STANDARD PRODUCT FAMILY
80C186XL/188XL 12, 20 0 NO 3 YES NO NO 1M 2 NO YES PS 13 YES YES TTL 5.0V A68, N68, C, E,
R68, S80,
SB80
80C186XL/188XL 25 0 NO 3 YES NO NO 1M 2 NO YES PS 13 YES YES TTL 5.OV A68, N68, C
R68, S80,
SB80
INTEL®ENHANCED PRODUCT FAMILY
80C186EA/188EA 25 0 NO 3 YES NO NO 1M 2 NO YES PS, PD, I 13 YES YES CMOS 5 0V N68 C
80C186EA/188EA 13, 20 0 NO 3 YES NO NO 1M 2 NO YES PS, PD, I 13 YES YES CMOS 5.0V N68 E
80L186EA/188EA 13 0 NO 3 YES NO NO 1M 2 NO YES PS, PD, I 13 YES YES CMOS 3.0V N68 E
80C186EB/188EB1 25 16 2 3 YES NO NO 1M O NO YES PD, I 10 YES YES CMOS 5.0V N84, S80, C
SB80
80C186EB/188EB 13, 20 16 2 3 YES NO NO 1M O NO YES PD, I 10 YES YES CMOS 5.0V N84, S80, E
SB80
80L186EB/188EB 16 16 2 3 YES NO NO 1M O NO YES PD, I 10 YES YES CMOS 3.3V N84, S80, C
SB80
80L186EB/188EB 13 16 2 3 YES NO N0 1M O NO YES PD, I 10 YES YES CMOS 3.0V N84, S80, E
SB80
80C186EC/188E 25 22 2 3 YES NO NO 1M 4 YES YES PS, PD, I 10 YES YES CMOS 5.0V KU100, C
(8259A) CS100,
SB100
80C186EC/188EC 13, 20 22 2 3 YES NO NO 1M 4 YES YES PS, PD, I 10 YES YES CMOS 5.0V KU100, E
(8259A) S100,
SB100
80L186EC/188EC 16 22 2 3 YES NO NO 1M 4 YES YES PS, PD, I 10 YES YES CMOS 3.3V KU100, C
(8259A) S100,
SB100
80L186EC/188EC 13 22 2 3 YES NO NO 1M 4 YES YES PS, PD, I 10 YES YES CMOS 3.0V KU100, E
(82SgA) S100,
SB100
PACKAGING:
A= Ceramic Pin Grid Array (PGA), KU = Plastic Quad Flat Pack (PQFP), R= Ceramic Leadless Chip Carrier (LCC), N= Plastic Leaded Chip Carrier (PLCC), NG = Plastic Quad Flat Pack (PQFP),
S= Quad Flat Pack (QFP-EIAJ), SB = Shrink Quad Flat Pack (SQFP-EIAJ), FA = Thin Quad Flat Pack (TQFP), FC = Shrink Quad Flat Pack with heat spreader (PQ2 PowerQuad), X= SmartDie product
A KU R N NG S SB FA FC
Intel486Processor 168ld 196ld 176ld 208ld
Intel386Processor 132ld 132ld 100ld, 144ld
132ld (DX)
Intel186®Processor 68ld 100ld 68ld 68ld, 80ld, 80ld,
84ld (EB) 100ld (EC) 100ld (EC)
POWER OPTIONS
PD = Power Down, PS = Power Save, I= Idle
TEMPERATURE RANGES: (Degrees Centigrade)
C= Commercial (0°C to +70°C), E = Extended (-40°C to +85°C).
Additional product information is always available via the World Wide Web
Intel®186 Processor Development Tools
There are many tools available for developing embedded systems with the Intel 186 product family. In fact, Intel 186
processors are compatible with Intel386 processor development tools and the PC architecture. This compatibility
provides access to a wide array of familiar, low-cost development tools.
Growth and competition in the PC industry have produced tools that are time-proven and run on your PC, which eliminates
the need for expensive workstation-based development tools. For example, the Microsoft and Borland development tools,
used to create more than 50,000 PC applications, can now be used in an embedded environment. For more information about
development tools support, refer to the Tools section in this catalog or contact your Intel sales representative or visit our Web
site at http://appzone.intel.com/toolcatalog/.
developer.intel.com/design/intarch Processors and Chipsets
11
EMBEDDED INTEL®ARCHITECTURE CHIPSETS
Intel®875P Chipset
The Intel®875P chipset-based platform delivers performance and high scalability for today’s cutting-edge e-Business and
e-Home applications while maintaining a balance of price and performance for embedded computing solutions. It is
designed, validated and optimized for Intel Pentium 4 processors with Intel NetBurst®microarchitecture, including the Intel®
Pentium®4 Processor with Hyper-Threading (HT) Technology. The Intel 875P chipset consists of the Intel®82875P Memory
Controller Hub (MCH) and the Intel®6300ESB I/O Controller Hub (ICH) to provide exceptional graphics bandwidth and
support the latest graphics controllers needed to meet the demands of today’s communications and embedded computing
market segments. Communication Streaming Architecture (CSA), featuring a Dedicated Network Bus (DNB), enables real
Gigabit Ethernet performance by eliminating the PCI bottleneck and providing a direct path to system memory. An optional
Intel®82547 Gigabit Ethernet Controller readily connects to CSA. Advanced packaging technology and industry-leading
electrical design innovations deliver long-term system reliability over wide operating conditions.
PRODUCT PRODUCT CODE PACKAGE FEATURE
Intel®82875P Memory 82875P 1005 FC-BGA 400/533/800 MHz system bus
Controller Hub DDR266/333/400 SDRAM with ECC
AGP 8X graphics interface
CSA network interface
Intel®6300ESB I/O FW80001ESB 689 µBGA PCI 32/33 and PCI-X 64/66
Controller Hub Serial and parallel ATA interfaces
USB 2.0 and serial ports
AC’97 support
Watchdog timer, 37 GPIOs
Intel®852GME Chipset
The Intel®852GME Chipset for Embedded Computing is an optimized integrated graphics solution featuring low-power
design. The 400/533 MHz system bus and integrated 32-bit 3D core at 133 MHz (at 533 MHz system bus) deliver a
high-bandwidth connection between the processor and the platform. It supports the Intel®Pentium®4 and the Intel®Celeron®
processors with Intel NetBurst®microarchitecture, and supports up to 2 GB of DDR266/333 system memory, providing the
performance and high scalability required for today’s cutting-edge e-Business and e-Home applications. The Intel 852GME
chipset is part of Intel’s comprehensive validation process that enables fast deployment of next-generation platforms to
maximize competitive advantage while minimizing development risks. It features integrated graphics utilizing Intel®Extreme
Graphics 2 technology, enhanced sound quality and AGP 4X support, along with advanced packaging technology and
industry-leading electrical design innovations to deliver long-term system reliability over wide operating conditions. Three
USB host controllers provide high-performance peripherals with 480 Mbps of bandwidth, while enabling support for up to
six USB 2.0 ports. This results in a significant increase over previous integrated 1-4 port hubs at 12 Mbps.
PRODUCT NAME PRODUCT CODE PACKAGING FEATURES
852GME Memory RG82852GME 732 micro-FC-BGA DDR266/333 Memory
Controller Hub (GMCH) Integrated graphics support
400 MHz or 533 MHz System Bus
I/O Controller Hub 4 FW82801DB 421 micro-BGA Direct connection to MCH with Intel®Accelerated Hub Architecture
Supports 32-bit PCI
IDE controllers with ATA/100
Six USB ports with USB 2.0 support
AC’97 controller with 20-bit audio support
Integrated LAN connect interface
Processors and Chipsets developer.intel.com/design/intarch
12
Intel®855GME Chipset
The Intel®855GME Chipset for Embedded Computing is an optimized integrated graphics solution featuring low-power
design. A 400 MHz system bus and integrated 32-bit 3D core at 133 MHz, support the Intel®Pentium®M processor and
associated microarchitecture and up to 2 GB of DDR333 system memory, providing the performance and high scalability
required for today’s cutting-edge embedded computing applications. The Intel 855GME chipset is part of Intel’s
comprehensive validation process that enables fast deployment of next-generation platforms to maximize competitive
advantage while minimizing development risks. It features integrated graphics utilizing Intel®Extreme Graphics 2 technology
and AGP 4X support, along with advanced packaging technology and industry-leading electrical design innovations to deliver
long-term system reliability over wide operating conditions. Three USB host controllers provide high-performance
peripherals with 480 Mbps of bandwidth, while enabling support for up to six USB 2.0 ports. Intel®Application Accelerator
software provides additional performance over native ATA drivers by improving I/O transfer rates and enabling faster O/S
load time, resulting in accelerated boot times. Communication and Network Riser (CNR) offers flexibility in system
configuration with a baseline feature set that can be upgraded with an audio card, modem card, or network card. Error
Correcting Code (ECC) support is available in integrated graphics mode only.
PRODUCT PRODUCT CODE PACKAGE FEATURES
855GME Memory RG82855GME 732 micro-FC-BGA 400 MHz system bus
Controller Hub (GMCH) DDR333 Memory
Integrated graphics support
I/O Controller Hub 4 FW82801DB 421 micro-BGA Direct connection to MCH with Intel®Accelerated Hub Architecture
Supports 32-bit PCI
IDE controllers with ATA/100
Six USB ports with USB 2.0 support
AC’97 controller with 20-bit audio support
Integrated LAN connect interface
Intel®6300ESB I/O Controller Hub
The Intel®6300ESB I/O Controller Hub (ICH) supports development of next-generation solutions for the communications
and general embedded market segments. It is designed for use with the Intel®875P Memory Controller Hub (MCH) and
the Intel®855GME Graphics Memory Controller Hub (GMCH), providing board designers with a variety of platform options
to address price, performance and packaging needs. The Intel 6300ESB ICH can be designed into platforms with processors
ranging from the Intel®Pentium®M processor to Intel®Celeron®and Intel®Pentium®4 processors, in socket 478 packaging.
PSB configurations are available at 400 MHz, 533 MHz and 800 MHz. The 6300ESB ICH is a derivative of the Intel®
82801EB (ICH5) and builds upon the ICH5 design by improving bandwidth via PCI-X 64/66 and PCI 32/33 support. It also
provides port 60/64 emulation, dual 16500-compatible UARTs for overall BOM cost savings, a two-stage watchdog timer,
and 37 GPIOs including four High Drive GPIOs. The Intel 6300ESB ICH and associated drivers help reduce support and
validation costs, and offer a variety of sell-up opportunities while still providing flexibility and performance at value pricing.
Flexible memory support allows for 200, 266, 333 or 400 MHz DDR SDRAM to be designed in, enabling cost-effective,
high-volume memory.
PRODUCT PRODUCT CODE PACKAGE FEATURES
Intel®6300ESB FWE6300ESB 689 µBGA Direct connection to the GMCH via Hublink 1.5
I/O Controller Hub Designed for use with the Intel®875P MCH and Intel®855GME GMCH
PCI-X 64/66 and PCI 32/33 support
Dual integrated UARTS
PATA/100 and SATA/150 support
Four USB 2.0 ports
developer.intel.com/design/intarch Processors and Chipsets
13
Intel®E7500 and E7501 Chipsets
The Intel®E7500 chipset and E7501 chipset are validated with the Intel Xeon processor with 512 KB L2 Cache and the
Low Voltage Intel Xeon processor. This chipset design delivers maximized system bus, memory, and I/O bandwidth to
enhance performance, scalability, and end-user productivity. ECC protection, coupled with high data transfer rates, supports
I/O segments with greater reliability and faster access to high-speed networks. Four DIMMs per channel (eight DIMMs total)
allow a maximum memory configuration of 16 GB. Single or dual DDR200 or DDR266 memory channels are supported for
up to 4.3 GB/s of memory bandwidth. Up to three 64-bit PCI/PCI-X Controller Hub 2.0 (P64H2) devices can connect to the
MCH, each providing a maximum bandwidth greater than 1 GB/s. Each P64H2 contains two independent 64-bit, 133 MHz
PCI-X interfaces and two PCI hot-plug controllers.
INTEL®E7500 CHIPSET
PRODUCT PRODUCT CODE PACKAGE FEATURES
Intel®E7500 Memory Controller Hub (MCH) RGEE7500PL 1005 FC-BGA 400 MHz System Bus
Advanced Platform RASUM, ECC
Integrated Controller Hub (ICH3-S) FW82801CA 421 BGA 64-bit PCI/PCI-X Controller Hub-2 allows up to 6 PCI-X buses per system
64-bit PCI/PCI-X Controller (P64H2) RG82870P2 567 FC-BGA Dual-channel DDR200 memory interface for up to 3.2 GB/s memory bandwidth
Uni or dual-processor capable
ECC, parity for application critical environments
INTEL®E7501 CHIPSET
PRODUCT PRODUCT CODE PACKAGE FEATURES
Intel®E7501 Memory Controller Hub (MCH) RGE7501MC 1005 FC-BGA 400/533 MHz System Bus
Advanced Platform RASUM
Integrated Controller Hub (ICH3-S) FW82801CA 421 BGA 64-bit PCI/PCI-X Controller Hub-2 allows up to 6 PCI-X buses per system
64-bit PCI/PCI-X Controller (P64H2) RG82870P2 567 FC-BGA Dual-channel DDR266 memory interface for up to 4.3 GB/s memory bandwidth
Uni or dual-processor capable
ECC, parity for application critical environments
Intel®845, Intel®845E and Intel®845GV Chipsets
The Intel®845 chipset family is designed, validated, and optimized for the Intel Pentium 4 processor with Intel NetBurst
microarchitecture, using proven and established building blocks. Intel 845 chipset-based platforms extend the capabilities
of the Intel Pentium 4 processor with an excellent balance of price and performance for embedded computing segments.
PRODUCT PRODUCT CODE PACKAGE FEATURES
Intel®82845 Memory Controller Hub (MCH) RG82845 593 FC-BGA 400 MHz System Bus
SDR PC133, 3GB max
DDR1600/2100 Memory, 2GB max
AGP4X interface enables over 1 GB/s of graphics bandwidth
ECC, parity for application critical environments
PCI 2.2
Intel®82845E Memory Controller Hub (MCH) RG82845E 593 FC-BGA 400/533 MHz System Bus
DDR200/266 Memory, 2GB max
AGP4X interface enables over 1GB/s of graphics bandwidth
ECC, parity for application critical environments
PCI 2.2
Intel®82845GV Memory Controller Hub (GMCH) RG82845GV 760 FC-BGA 400/533 MHz System Bus
SDR PC133, 2GB max
DDR200/266/333 Memory, 2GB max; 2 DIMM, No ECC
Integrated Intel®Extreme Graphics
I/O Controller Hub 2 (ICH-2) FW82801BA 360 EGBA Direct connection to Intel 82845 MCH with Intel®Accelerated Hub Architecture
for 845 MCH only Supports 32-bit PCI
IDE controllers with ATA/100
Four USB ports, USB 1.1 compliant
AC’97 controller with 6-channel sound
Integrated LAN connect interface
I/O Controller Hub 4 (ICH-4) FW82801DB 421 BGA Direct connection to Intel 82845E and Intel 82845GV MCH with Intel®Accelerated Hub Architecture
for 845E and 845GV only Supports 32-bit PCI
IDE controllers with ATA/100
Six USB ports with USB 2.0 support
AC’97 controller with 6-channel sound
Integrated LAN connect interface
Processors and Chipsets developer.intel.com/design/intarch
14
Intel®82801E C-ICH
The Intel®82801E C-I/O Controller Hub (ICH) is specifically suited for use within the communications and network
appliance market segments. It is designed for use with a variety of Graphics Memory Controller Hubs (GMCHs)
providing board designers with outstanding scalability in a single board design. The Intel 82801E C-ICH can be designed
into embedded computing platforms with processors that range from the Intel Pentium III to Intel Celeron processors.
PRODUCT PRODUCT CODE PACKAGE FEATURES
Intel®82801E Communications FW82801E 421 BGA Direct connection to the GMCH with Intel®Accelerated Hub Architecture
I/O Controller Hub (C-ICH) Designed for use with the Intel®810, Intel®815E, and Intel®815 GMCHs
Dual Integrated MACs and Dual Integrated UARTs for overall system cost savings
Supports 32-bit PCI
IDE Controllers with ATA/100
Two USB ports
Intel®840 Chipset
The Intel 840 chipset is validated with the Intel Pentium III processor and features support for 100 or 133 MHz system bus
speeds, single- or dual-processor configuration, ECC on memory, high memory bandwidth, large memory capacity, AGP
4X, and a second PCI bus (64-bit/66 MHz) for high-performance I/O.
PRODUCT PRODUCT CODE PACKAGE FEATURES
Memory Control Hub (MCH) FW82840 544 BGA Dual processing capability
Dual memory channels for up to 3.2Gbps memory bandwidth
16-bit wide implementation of accelerated hub architecture for high-performance concurrent
PCI I/O with the P64H
AGP 4X port
I/O Control Hub (ICH) FW82801AA 241 BGA Direct connection to the MCH with Intel®Accelerated Hub Architecture
Supports 32-bit PCI
IDE controllers
Dual USB ports
4 MB Firmware Hub* N82802AB PLCC System BIOS and video BIOS
Contact your local Intel field sales Intel Random Number Generator (RNG) for stronger encryption, digital signing, and security
representative for product availability protocols
8 MB Firmware Hub* E82802AC TSOP System BIOS and video BIOS
N82802AC PLCC Intel®Random Number Generator (RNG) for stronger encryption, digital signing, and security
protocols
*Must be purchased as separate component
Optional components of the Intel®840 chipset:
64-bit PCI Controller Hub (P64H) FW82806AA 241 BGA Supports 64-bit PCI slots at speeds of either 33 or 66 MHz
Connects directly to MCH using Intel Accelerated Hub Architecture
Dedicated path for high-performance I/O
RDRAM Memory Repeater Hub (MRH-R) FW82803AA 324 BGA Converts each memory channel into two for expanded memory capacity
developer.intel.com/design/intarch Processors and Chipsets
15
Intel®815 and Intel®815E Chipsets
The Intel 815 and Intel 815E chipsets provide the highest degree of processor scalability supporting the Intel Celeron
processor at 566 MHz to the Intel Pentium III processor with 512K cache at 1.26 GHz. The chipsets support processor
side bus speeds of 66, 100 and 133 MHz. The Intel 815 and Intel 815E chipsets also provide graphics scalability through the
use of Intel®graphics, an add-in Graphics Performance Accelerator (GPA) card, or an add-in AGP 4X card.
PRODUCT PRODUCT CODE PACKAGE FEATURES
Graphics and AGP Memory FW82815 544 BGA Intel®Accelerated Hub Architecture
Controller Hub (GMCH) 133/100/66 MHz PSB
PC133/100 SDRAM, No ECC
Integrated scalable graphics
Intel®3D graphics with direct AGP
Overall BOM cost savings
External AGP port with the option for up to 4 MB of dedicated display cache video memory
I/O Control Hub (ICH) FW82801AA 241 BGA Direct connection to the GMCH with Intel Accelerated Hub Architecture
for Intel®815 Supports 32-bit PCI
IDE Controllers with ATA66
Dual USB ports
AC’97 controller with 4 Channel Sound
I/O Control Hub 2 (ICH2) FW82801BA 360 EBGA Direct connection to the GMCH with Intel Accelerated Hub Architecture
for Intel®815E Supports 32-bit PCI
IDE Controllers with ATA100
Four USB ports
AC’97 controller with 6-Channel Sound
Integrated MAC
4 MB Firmware Hub* N82802AB PLCC System BIOS and video BIOS
Contact your local Intel field sales Intel®Random Number Generator (RNG) for stronger encryption, digital signing, and security protocols
representative for product availability
8 MB Firmware Hub* E82802AC TSOP System BIOS and video BIOS
N82802AC PLCC Intel Random Number Generator (RNG) for stronger encryption, digital signing, and security protocols
*Must be purchased as separate component
Intel®810 and Intel®810E2 Chipsets
The Intel 810 chipset provides processor scalability supporting the Intel Celeron processor at 300 MHz to the Intel
Pentium III processor at 800 MHz and above, with processor side bus speeds of 66 and 100 MHz. The Intel 810E2
chipset adds support for 133 MHz processor side bus speed. These chipsets optimize system memory arbitration, similar to
AGP technology, resulting in a more responsive and cost-effective system. They help reduce overall system cost by
integrating graphics into the memory controller.
PRODUCT PRODUCT CODE PACKAGE FEATURES
Intel®810 Graphics and AGP Memory FW82810 421 BGA Intel®Accelerated Hub Architecture
Controller Hub (GMCH) 66/100 MHz PSB, PC66/100 SDRAM, No ECC
Intel®3D graphics with Direct AGP
Overall BOM cost savings
Intel®810E2 Graphics and AGP FW82810E 421 BGA Intel Accelerated Hub Architecture
Memory Controller Hub (GMCH) 66/100 MHz PSB, PC66/100 SDRAM, No ECC
Intel 3D graphics with Direct AGP
Overall BOM cost savings
Optional 4MB of dedicated display cache video memory (100 or 133 MHz)
I/O Control Hub (ICH) FW82801AA 241 BGA Direct connection to the GMCH with Intel Accelerated Hub Architecture
for Intel®810 Supports 32-bit PCI
IDE Controllers with ATA66
Dual USB ports
AC’97 controller with 4-channel sound
I/O Control Hub 2 (ICH2) FW82801BA 360 EBGA Direct connection to the GMCH with Intel Accelerated Hub Architecture
for Intel®810E2 Supports 32-bit PCI
IDE Controllers with ATA100
Four USB ports
AC’97 controller with 6-channel sound
Integrated MAC
4 MB Firmware Hub* N82802AB PLCC System BIOS and video BIOS
Contact your local Intel field sales Intel®Random Number Generator (RNG) for stronger encryption, digital signing, and security protocols
representative for product availability
8 MB Firmware Hub* E82802AC TSOP System BIOS and video BIOS
N82802AC PLCC Intel Random Number Generator (RNG) for stronger encryption, digital signing, and security protocols
*Must be purchased as separate component
Processors and Chipsets developer.intel.com/design/intarch
16
Intel®440BX AGPset
The Intel Pentium III processor, Intel Pentium III processor—Low Power, Intel Pentium II processor—Low Power, Intel
Celeron processor and Intel Celeron processor—Low Power families are supported by the Intel 440BX AGPset. The
system controller provides support for SDRAM, the interface to the PCI bus, and the interface to the AGP port. The PIIX4E
provides the PCI to ISA interface, USB support, and many other features necessary to maintain PC compatibility. The Intel
440BX AGPset operates at both 66 and 100 MHz, providing plenty of headroom for future generations of Intel Pentium III
and Intel Celeron processors. Both chips in the Intel 440BX AGPset use a BGA package providing improved layout and
signal integrity.
PRODUCT PRODUCT CODE PACKAGE FEATURES
Intel®440BX Northbridge FW82443BX 1 x 492 BGA AGPset support, 66/100 MHz SDRAM, 66/100 MHz PSB
Intel®PIIX4E Southbridge FW82371EB 1 x PIIX4E 324 BGA USB Support
ACPI-compliant power management
ECC, parity for application critical environments
Concurrent PCI
Intel®440MX PCIset
The Intel 440MX is a low-power, single-component chipset specifically designed to reduce system cost, space and power.
The Intel 440MX chipset supports low-power Intel Celeron and Intel Pentium III designs. The Intel 440MX is offered in
the small form factor, 492 Ball Grid Array (BGA) package with a typical power dissipation of 2.1W making it an effective
solution for fanless applications.
PRODUCT PRODUCT CODE PACKAGE FEATURES
Intel®440MX FW82443MX100 492 BGA Combines the Intel®440BX AGPset core architecture and PIIX4 southbridge into a single chip
Advanced power management features
AC’97 link interface based on Intel®AC’97 Specification v 2.1
PC100 SDRAM and ECC
100 MHz PSB
Intel®PCIsets
The Intel®430TX PCIset is a high integration two-chip solution with BGA packaging, which minimizes board real estate
requirements while reducing failure points. It closes the power consumption gap and enables new applications by
delivering mobile-style power management with the highest performance. The Intel 430TX PCIset is available in extended
temperature range (-40° to +115°C), which enables a complete solution when joined with the 166 MHz lower-power Intel
Pentium processor extended temperature version.
The Intel®430HX PCIset is a two-chip solution featuring uncompromised EDO memory timings, optimized CPU to PCI
performance, additional buffering and arbitration and writeback enhancements. The Intel 430HX PCIset also supports USB,
ECC and parity.
PRODUCT PRODUCT CODE PACKAGE FEATURES
Intel®430HX Northbridge FW824391HX 1 X TXC 324 BGA PCI 2.1, 64 Mbit DRAM, 66 MHz PSB, No ECC
Intel®PIIX3 Southbridge SB82371SB 1 X PIIX3 208 QFP L2 cache controller
Concurrent PCI
USB support
ECC, parity for application critical environments
Intel®430TX Northbridge FW82439TX 1 X MTXC PCI 2.1, 66 MHz PSB, SDRAM, No ECC
324 BGA
Intel®PIIX4E Southbridge FW82371EB 1 X PIIX4E L2 cache controller
324 BGA Optimized for Pentium®processor with MMXtechnology
Dynamic Power Management Architecture
SDRAM Support
USB Support
Concurrent PCI
Ultra DMA hard drive protocol
developer.intel.com/design/intarch Processors and Chipsets
17
EMBEDDED INTEL®REFERENCE DESIGNS AND CONFIGURATIONS
Embedded Intel Architecture reference designs support developers in a variety of market segments, including
communications, storage, interactive clients, learning solutions, and print imaging. These reference designs may be used
off-the-shelf as a market-ready design, or further customized to support additional value-added features. This allows
developers to focus technical resources on strategic product innovation and customized applications in order to accelerate
time-to-market while reducing the risk of hardware development.
Schematics are available for download at no cost from Intel’s Developer Web site. In addition, Intel’s growing family of
reference designs provides these key benefits:
Accelerated and economical implementation of leading-edge technologies
Shortened platform selection and design cycle
Simplified customization with scalable and flexible platforms
Extended component life cycles and broad software application support
Reference Designs for Communications
http://developer.intel.com/platforms/applied/eiacomm/reference_configs.htm
Intel provides building blocks that work together in specific configurations to accelerate development of networked storage
and other communications applications, including load balancing, network attached storage and storage area networking
equipment, network security, Virtual Private Networks, Voice over IP (VoIP), and Web caching. With these proof-of-concept
designs, including downloadable schematics, developers can quickly develop boards that scale in processor and I/O
performance.
COMMUNICATIONS APPLIANCE REFERENCE DESIGNS
PRODUCT PROCESSOR SPEED CHIPSET ETHERNET CATEGORY
Performance Intel®Pentium®M processor 1.6 GHz Intel E7501 82546, 82551 Performance
Communications Appliance
Intel®E7501 Dual Intel®Xeonprocessors or Low Voltage 1.6–2.4 GHz Intel E7501 82559, 82554GC Performance
Intel Xeon processors
Intel®845E Intel®Pentium®4 processor or Mobile 1.7–2.4 GHz Intel 845E 82551QM, 82540EM Value
Intel®Pentium®4 processor-M
Intel®Communications Intel®Celeron®or Intel®Pentium®III processors 566 MHz–1.26 GHz Intel®815E GMCH 82559, 82562ET Value
ICH +82801E C-ICH
Intel®815E Intel Celeron or Intel Pentium III processor 566–733 MHz Intel 815E 82559ER, 82562ET Value
Intel®810 Intel Celeron or Intel Pentium III processor 300–700 MHz Intel 810 82559ER Value
Intel®815E Entry Ultra Low Voltage Intel Celeron processor 400–650 MHz Intel 815E 82551ER Entry
Intel®440MX Entry-Level Intel Celeron processor—Low Power 400 MHz Intel 440MX 82559ER Entry
Intel®440BX Entry-Level Intel Celeron processor 300A 300 MHz Intel 440BX/PIIX4E 82559ER Entry
INTEL®NETSTRUCTUREBOARD REFERENCE DESIGNS
PRODUCT PROCESSOR SPEED CHIPSET ETHERNET ETHERNET PORTS
ZT 5524 High Performance Dual Intel®Pentium®III Processors 933 MHz ServerWorks* LE 82546, 82550 Dual Gigabit
with 512K L2 Cache
ZT 5515 Value Intel®Pentium®4 processor-M 1.2 GHz Intel®845E 82546 Dual Gigabit
BLADE REFERENCE CONFIGURATION
PRODUCT PROCESSOR SPEED CHIPSET ETHERNET CATEGORY
Intel®440MX Entry PrPMC Ultra Low Voltage Intel®Celeron®processor 300–700 MHz Intel®440MX NA Entry
or Intel®Pentium®III processor—Low Power
Processors and Chipsets developer.intel.com/design/intarch
18
Interactive Client Reference Configurations
http://developer.intel.com/design/intarch/platforms/iaclient/reference_configs.htm
Interactive Clients are widely used in a variety of market segments including retail, hospitality and other environments
where financial, information, or other transactions take place. Applications for interactive clients are evolving from the
simple act of processing financial transactions, such as at a cash register or ATM, to becoming an integral part of the business
environment. Interactive Clients are now being used to interface to other enterprise resources for business-critical applications
such as targeted marketing, Customer Relationship Marketing (CRM), labor management, and employee training. Kiosks and
other self-service terminals are being rapidly deployed to provide more customer access points on-premises, or to provide
access to goods or services that are not immediately available on-site. Interactive Client development also includes the
integration of numerous peripherals a system might use, including network interfaces, audio, LCD touch screens, pole
displays, cash drawers, scanners, printers, and other peripherals.
PRODUCT PROCESSOR SPEED CHIPSET ETHERNET CATEGORY
Intel®852 with Intel Pentium 4 processor 2.0 to 2.6 GHz Intel®852GME chipset 82551QM, 82540EM, Performance Scalability
Intel®Pentium®4 processor with ICH4 82562EZ
Intel®845E Intel Pentium 4 processor and Up to 2.53 GHz Intel 845E 82551 Performance
Intel®Pentium®4 Processor-M
Intel®815E Ultra Low Voltage Intel®Celeron®processor 400–650 MHz Intel 815E 82551ER Low-Power
Intel®815 Intel Pentium III or Intel Celeron processor 566–866 MHz Intel 815 82562ET Value
Intel®810 Intel Pentium III or Intel Celeron processor 300–850 MHz Intel 810 82559ER Value
Embedded Client
Intel 810 Intel Pentium III or Intel Celeron processor 566–866 MHz Intel 810 82562ET Value
Intel®Print Imaging Reference Configurations
http://developer.intel.com/design/intarch/platforms/printimg/reference_configs.htm
Increasing pages per minute and transition to color are driving the need for increased CPU performance and Intel®Print
Imaging solutions continue to bring increasing benefit to the enterprise. These high-performance, scalable, and low-power
Print Imaging reference configurations offer:
High performance, high I/O bandwidth and maximum CPU performance, ideal for high I/O bandwidth applications such
as high-speed color commercial print solutions.
Scalability, performance and value, designed for applications such as midrange multi-function units and digital copiers.
Low power and value, intended for low-power and fanless applications such as entry-level color laser and fast
monochrome printers.
PRODUCT PROCESSOR SPEED CHIPSET ETHERNET CATEGORY
Intel®E7501 Intel®Xeonprocessor 2.0 GHz Intel E7501 82551, 82559 High Performance
Intel®845GV Intel®Pentium®4 or Intel®Celeron®processor 2.0 GHz Intel 845GV 82551, 82559 Scalable
Intel®845E Intel Pentium 4 or Intel Celeron processor 1.7–2.2 GHz Intel 845E 82551, 82559 Scalable
Intel®815E Intel®Pentium®III or Intel Celeron processor 1.26 GHz Intel 815E 82551, 82559 Low Power
developer.intel.com/design/intarch Processors and Chipsets
19
Reference Configuration for Student Learning Station
http://developer.intel.com/platforms/applied/studentstation/reference_config.htm
Intel Architecture-based processors, chipsets and other components can be used in designs for student learning
terminals.
PRODUCT PROCESSOR SPEED CHIPSET ETHERNET CATEGORY
Student Computing Station Intel®Celeron®processor 300A, 366, 433 MHz Intel®810 GD 82559 Entry
Development Kits
Intel provides a wide selection of development kits to support the products on its roadmap. These kits are offered with
supporting documents and evaluation software from independent software vendors. Intel’s development kits are designed
to minimize the customers’ development efforts and facilitate quick time-to-market.
PRODUCT NUMBER PRODUCT NAME PROCESSOR SUPPORT CHIPSET PACKAGING MEMORY
EID875PDEVKIT Intel®875P MCH with Intel®6300ESB ICH Chipset Intel®Pentium®4 processor, Intel 875P MCH and 478 µFC-PGA Up to 4GB DDR SDRAM
Intel®Pentium®4 Processor Intel 6300ESB ICH at 400/333/266 MHz
with Hyper-Threading
Technology or Intel®Celeron®
processor
EIDPM855FBDVKT Intel®Pentium®M Processor with Intel Pentium M processor Intel 855GME GMCH 478 µFC-PGA 200/266/300 MHz
Intel®855GME MCH and Intel at 1.6 GHz and Intel 6300ESB ICH DDR SDRAM
6300ESB ICH Chipset
EIDPM855HDDVKT Intel Pentium M Processor with Intel Pentium M processor Intel 855GME GMCH 478 µFC-PGA 2GB or 266
Intel 855GME and Intel®FW82801DB at 1.6 GHz DDR SDRAM
EIDPME7501DEVKIT Intel Pentium M processor with Intel Pentium M processor Intel E7501 chipset 478 µFC-PGA 2 GB or 266 MHz
Intel®E7501 at 1.6 GHz DDR SDRAM
EIDXE7501DEVKIT Intel E7501 Scalable Performance Board Dual Low Voltage Intel®XeonIntel®E7501 Socket 604 256 MB DDR, expandable
processors (1.6 GHz) or Dual to 8 GB DDR
Intel Xeon processor (2.4 GHz)
EIAP3840DEVKIT Intel®Pentium®III Processor/Intel®840 Dual Intel Pentium III processors Intel 840 w/MCH, FC-PGA Dual 64 MB RDRAM
(866 MHz) ICH, P64H and FWH RIMMS
EIDP3815BDEVKIT Intel®815E Scalable Performance Board Intel®Celeron®processor Intel 815/815E FC-PGA or PC-PGA2 128 MB SDRAM, expandable
(566 MHz) through Intel to 512 MB SDRAM
Pentium III processor (1.26 GHz)
EIAP3FMBDEVKIT Intel®440BX Scalable Performance Board Intel Pentium III processor Intel 440BX AGPset PC-PGA 32 MB SDRAM
(850 MHz)
EIACEL18FMBDVKT Intel 440BX Scalable Performance Board Intel Pentium III processor Intel 440BX AGPset PC-PGA 32 MB SDRAM
(850 MHz) or Intel Celeron
processor (850 MHz)
EIACEL25FMBDVKT Intel 440BX Scalable Performance Board Intel Pentium III processor Intel 440BX AGPset PC-PGA 32 MB SDRAM
(850 MHz) or Intel Celeron
processor (433MHz)
EIDPLPMXDEVKIT Intel®440MX Scalable Low Power Board Intel Celeron processor— Intel 440MX AGPset µPGA 128 MB SDRAM, expandable
Ultra Low Power (700 MHz) to 256 MB SDRAM
or Intel Pentium III processor—
Low Power (500 or 700 MHz)
EID845GVDEVKIT Intel®845GV Scalable Performance Board Intel Celeron processor (2.0 GHz) Intel 845GV Socket 478 256 MB DDR, expandable
to 2 GB DDR
Processors and Chipsets developer.intel.com/design
20
Intel
®
Personal Internet Client Architecture
Accelerating Wireless Application Development
The convergence of wireless phones and handheld digital devices is advancing daily. To keep pace with this wave of
next-generation wireless devices, development of hardware and software must be able to occur along separate paths.
The open architectural framework of the Intel®Personal Internet Client Architecture (Intel®PCA) allows separate
development of applications and communication subsystems and speeds development and deployment of wireless Internet
devices, applications, and services. It helps enable you to innovate new wireless Internet devices, applications, and services
faster than ever before.
Design Independence
Intel PCA decouples the applications subsystem from the communication subsystem through an open physical and logical
bus interface, while providing a link to memory subsystem.
The benefit: you can now drive application development to improve functionality and reduce time-to-market, with
independence from communication standards.
Outstanding Flexibility
Intel PCA framework enables you to design a single platform to support a broad range of products, from cell phones and
smart phones to PDAs and automotive clients. Intel PCA supports application compatibility across multiple levels of
hardware integration to protect your platform design and software investments.
The benefit: Intel PCA provides consumer and corporate users greater availability of applications, broader deployment of
services and networks, and more capable wireless platforms. Applications developers will benefit from shorter development
cycles and an open architecture that allows for rapid adaptation of applications across platforms and devices, resulting in
faster time-to-market.
It’s these benefits that make it easy to understand why Intel PCA has such wide industry support.
INTEL®PCA PRODUCTS
Intel PCA Application Processors: Intel PCA processors, based on the Intel XScale®microarchitecture, deliver advanced
integration, leadership multimedia performance and superior power savings for full-featured wireless cell phones,
communicators and PDAs.
INTEL®PXA27X PROCESSOR FAMILY
The Intel®PXA27x processors are the first Intel XScale technology-based processors to include Intel®Wireless MMX
technology to enable high-performance multimedia acceleration with an industry proven instruction set.
Building Tomorrow’s Handheld Today
Designed from the ground up for wireless clients and incorporating the latest Intel advances in mobile technology, the
Intel PXA27x processor family redefines what a wireless handheld can do by incorporating innovative new features
while borrowing and enhancing others from the world of the PC. The Intel PXA27x processors are the first Intel XScale
technology-based processors to include Intel Wireless MMX technology to enable high-performance multimedia acceleration
developer.intel.com/design Processors and Chipsets
21
with an industry proven instruction set. Another innovative feature is the Intel®Quick Capture Technology which provides
one of the industry’s most flexible and powerful camera interfaces for capturing digital images and video. And while
performance abounds in the Intel PXA27x processor, power consumption is also a critical component. The new
capabilities of Wireless Intel SpeedStep®Technology provide a quantum leap forward in low-power operation. Finally, the
Intel PXA27x family stacks Intel StrataFlash®memory and low-power SDRAM with the processor for more functionality
in a smaller footprint.
Advanced Multimedia Capability
Instead of using additional processors or accelerators that can reduce battery life, Intel Wireless MMX technology provides
an advanced set of multimedia instructions that will help bring desktop-like multimedia performance to Intel PXA27x
processor-based clients while minimizing the power needed to run rich applications. Intel Wireless MMX technology builds
on the Intel®MMXtechnology originally introduced in the Pentium®processor family, enabling the large number of
software developers already familiar with these instructions to quickly make their applications such as 2-D and 3-D gaming,
streaming MPEG4 video, wireless encryption/decryption, Digital TV reception and voice recognition available for
Intel®-based cell phones and PDAs.
High-Quality Pictures and Video on a Cell Phone, PDA
The ability to send and receive digital pictures or video clips has been one of the fastest-growing developments in the cell
phone and PDA segments worldwide. Intel Quick Capture Technology, an interface that allows imaging capabilities to be
incorporated into phones and PDAs, improves image quality and reduces the overall cost of adding digital image capabilities
to mobile devices.
Intel Quick Capture Technology is designed to provide the ability to capture live video and high-quality still images from
a wide range of camera sensors in current and future camera-enabled mobile handsets and PDAs. Performing the image
processing on the Intel PXA27x processor reduces the need for an external preprocessor, helping save both on cost and
power. Intel Quick Capture Technology consists of three primary modes of operation: Quick View mode (providing low-
power, real-time previews), Quick Shot mode (providing high-resolution image capture up to 4+ megapixels) and Quick
Video mode (providing full-motion, high-quality video capture).
Steps to Lowering Power
First available in the Intel PXA27x processor family, Wireless Intel SpeedStep Technology provides the ability to
dynamically adjust the power and performance of the processor based on CPU demand. This can result in a significant
decrease in power consumption for wireless handheld devices to increase standby and talk-time.
Wireless Intel SpeedStep Technology advances the capabilities of functions already built into the Intel XScale
microarchitecture by incorporating three new low-power states and using advanced Wireless Intel SpeedStep Power
Manager Software to intelligently manage the power and performance needs for the end user. The technology is able to
change both voltage and frequency on-the-fly, saving additional power while still providing the necessary performance
to run rich applications.
Processors and Chipsets developer.intel.com/design
22
Using Wireless Data Faster
Hooking up application processors to communications products today is a non-standard, high-power, and slow
performing prospect. Enter Intel®Mobile Scalable Link (Intel®MSL) a next-generation, scalable, and low-power
communications link between application processors and communication processors. This dedicated link was created by
Intel to help meet industry requirements for a high-speed interface to supply next-generation clients with data from next-
generation networks. Intel MSL supports multiplexed interfaces for data and voice, while supporting up to 14 simultaneous
transfers at speeds of up to 416Mbps. The result is quicker development times for faster time-to-market, longer battery life,
and improved real-time video telephony and multimedia streaming for clients. Intel MSL will be incorporated into all future
Intel®processor products designed for wireless, handheld devices.
Getting More from Less
In the wireless handheld market segment, space is everything. Customers are demanding thinner, lighter and more flexible
handhelds that do not sacrifice features. The challenge is to integrate the features that customers want while simultaneously
reducing the size and weight of wireless handheld devices packaging. The Intel PXA27x processor family paves the way
toward thinner, lighter designs by stacking variations of Intel®Flash and low-power SDRAM in a space-saving 14x14-mm
package. The PXA27x processor family supports the tight space requirements for today’s 3G wireless handheld applications
while providing scalable solutions for tomorrow’s generation of platforms.
A Trusted Hardware Solution
The Intel PXA27x processor family incorporates the Intel®Wireless Trusted Platform that is designed to provide platform
trust and robust security services required for today’s wireless devices. Built around the concepts developed by the
Trusted Computing Group* (TCG) industry forum, Intel Wireless Trusted Platform is comprised of hardware and software
components that are designed to provide services such as secure boot, secure storage of private information and keys,
cryptographic acceleration, and key management support for common security protocols such as Virtual Private Networks
(VPN), Secure Sockets Layer (SSL), and Open Mobile Alliance Digital Rights Management (OMA-DRM). The Intel
Wireless Trusted Platform also provides the tools to enable OEMs to prevent the reprogramming of International Mobile
Equipment Identifiers (IMEI) thus helping reduce handset theft and fraud.
Development Ecosystem
Intel is a leader in creating comprehensive developer ecosystems for processors. The Intel PXA27x processor family
products are code compatible with all ARM* and Intel XScale technology-based solutions providing an opportunity for
developers and manufacturers to maintain their code investments. In addition, the Intel PXA27x family will be supported
by the ecosystem of reference platforms compilers, debuggers, code analyzers, codecs, and integrated primitives for
performance, graphics and security. In addition, Intel will make available OS board support packages that include drivers and
power management software for Linux, Palm* OS, Symbian*, Microsoft (CE.Net*, Smartphone* and Pocket PC*) as well as
Nucleus* and SavaJe*. A number of third-party applications developers are optimizing for Intel Wireless MMX technology
today, through Intel’s Wireless Competency Center’s worldwide. All this provides the manufacturer with one of the most
exhaustive choices of software and development hardware in the industry.
developer.intel.com/design Processors and Chipsets
23
The Intel®PXA27x Applications Processor Advantage
FEATURES BENEFITS
Intel XScale®Technology Highly scalable core up to 624 MHz
Secure Solution The Intel®Wireless Trusted Platform: Security trusted services such as
trusted boot, secure storage of private information, and support for security
protocols such as VPN, SSL, OMA, IMEI and OMA-DRM
Incredible Multimedia Familiar Intel®Wireless MMXtechnology instructions designed for
high-performance multimedia, 3-D games and advanced video
Advanced Camera Interface Intel®Quick Capture technology supports 4+ Megapixel cameras for
capturing digital images, video and low-power, real-time previews
Enhanced LCD Controller Dual-Panel LCD up to 24-bit color. Hardware color space conversion with
256 Kbytes of on-chip SRAM for faster video. Two overlays to reduce
LCD bandwidth. Integrated with Intel Quick Capture technology to enable
fast video preview.
Reduced Power Consumption Wireless Intel SpeedStep®technology with five low-power modes can
change frequency and voltage dynamically. Wireless Intel SpeedStep
Power Manager software enables built-in, intelligent power management
Fast Access to Wireless Data Intel®Mobile Scalable Link provides up to 416 Mbps link between
communications and applications processors
Large Peripheral Set USB Host/Client
USB OTG
4-bit SD I/O
MMC/SDCard
Memory Stick
USIM card interface
Keypad controller
PCMCIA/CF
ICP
Memory Interface 100 MHz memory bus supports a variety of 1.8V, 2.5V, 3.0V and 3.3V
memory
Less Space For greater memory density and flexibility
Up to 64 Mbytes Intel StrataFlash®Memory
32 Mbytes Intel StrataFlash and 32 Mbytes Low-Power SDRAM
Processors and Chipsets developer.intel.com/design
24
INTEL®PXA255 PROCESSORS
Wireless Internet Content at Intel Speed
Mobile and wireless devices simplify our lives, keep us entertained and increase productivity. Each day businesses
and individuals rely on them more and more. But, to continue satisfying ever-increasing customer demands to
communicate and access information anytime, anywhere, manufacturers need technologies that deliver high performance,
flexibility and robust functionality—all in the small-size, low-power framework of portable, battery-powered products. The
Intel Personal Internet Client Architecture (Intel PCA) applications processors with Intel XScale technology push handheld
device functionality to new heights. With a seven-stage pipeline and faster processing speeds, these microprocessors enable
wireless devices to meet the performance demands of Enterprise-class wireless computing and feature-hungry technology
consumers. All while Intel’s power management capabilities deliver unparalleled operating efficiency. By reducing
component count and board space, lowering power consumption, minimizing system costs and shortening time-to-market,
Intel PCA applications processors deliver solid competitive advantage and superior handheld devices.
Intel®PXA255 High-Performance Applications Processor
Packing feature-rich devices with multimedia performance.
For advanced devices that run the most impactful mobile applications, the Intel®PXA255 Applications Processor is the
answer. This highly integrated, 32-bit RISC processor combines the efficiency of Intel design with the industry-standard
ARM* v.5TE instruction set architecture and the processing power of built-in multimedia capabilities for superior
performance and unmatched handheld functionality. This enables increased end-user functionality, the longest battery life and
a richer handheld Internet experience. Based on the Intel XScale technology, the Intel PXA255 cost-effectively boosts
processing speed and power management for one of the industry’s best MIPS/mW ratio. Larger memory caches and gated
clocks ensure faster functions with lower power dissipation. And the processing capabilities of the Intel PXA255 enable
portable devices to respond faster to optimized operating systems and performance-intensive applications like MP3 audio
decode, MPEG4 video decode, speech and handwriting recognition, and Java* interpretation. From surfing animated Web
sites to streaming video to mixing MP3s, the Intel PXA255 delivers processing power for superior performance.
The Development Framework for Wireless Advancement
Outstanding performance and low power consumption are only the beginning when you develop with the Intel PXA255
applications processor. Our robust software and hardware development environment offers a large library of ARM-
compliant applications and tools, as well as an array of wireless operating systems and tool chains to ease application
development and system prototyping. The Intel®Integrated Performance Primitives (Intel®IPP) library promises easy access
to an array of low-level, cross-platform software algorithms for high-demand communications, signal processing,
mathematics and media functions. The Intel IPP’s highly optimized implementation helps reduce battery consumption
through more efficient CPU execution, enables developers to focus on value-add features, and speeds time-to-market.
With the Intel PCA development ecosystem, you have the resources you need to deliver the features your consumers want—
efficiently and effectively.
Intel®Personal Internet Client Architecture
The blueprint for next-generation wireless development
With Intel Personal Internet Client Architecture (Intel PCA), the next generation of wireless Internet devices,
applications and services are at hand. This open, standards-based architecture separates communication and
computing subsystems for parallel development of related wireless devices hardware and software. The scalability of the
Intel PCA platform ensures compatibility with leading operating systems and global wireless standards to make porting
applications or services across devices and platforms easy and efficient. And with the superior performance of optimized
Intel®wireless hardware and software building blocks, Intel makes the promise of a dynamic, multimedia wireless
Internet a reality.
developer.intel.com/design Processors and Chipsets
25
The Intel PCA Developer Network offers device manufacturers, service providers and application developers easy access to
the applications, tools and services that speed market penetration and encourage consumer adoption of Intel PCA-based
products. This Web-based community offers platform and software development kits; programming tools; software building
blocks; technical information; marketing program opportunities; community networking; and market exposure to help
developers build value in their Intel PCA products, applications and services.
Intel®PXA255 At-A-Glance:
High Performance:
Low-power, high-performance 32-bit Intel XScale core-based CPU—200, 300, and 400MHz
ARM Architecture v.5TE compliant and application code compatible with Intel®SA1110 processor for rapid upgrade
Intel®Super pipelined RISC technology utilizing advanced Intel®0.18µ process for high core spees at low power
Intel®Media Processing Technology including 40-bit accumulator and 16-bit SIMD to enhance audio/video decode
performance
High-performance glue-less burst and page mode interfaces with Synchronous Intel StrataFlash®Memory
Low Power:
Low power and Turbo modes for optimal battery life
32 KB data and 32 KB instruction caches
2 KB Mini data cache for streaming data
Support for 2.5 and 3.3V memories
I/O Expansion:
Integrated Memory and PCMCIA/Compact Flash Controller with 100 MHz Memory Bus, 16-bit or 32-bit ROM/Flash/
SRAM (six banks), 16-bit or 32-bit SDRAM, SMROM (four banks), as well as PCMCIA and Compact Flash for added
functionality and expandability
System Control Module includes 17 dedicated general-purpose interruptible I/O ports, real-time clock, watchdog and
interval timers, power management controller, interrupt controller, reset controller, and two on-chip oscillators
Wireless:
Peripheral Control Module offers 16-channel configurable DMA controller, integrated LCD controller with unique DMA
for fast color screen support, Bluetooth* I/F, serial ports (IrDA, I2C*, I2S*, AC’97, three UARTs, SPI and SSP), USB
end point interface, and MMC/SD Card Support for expandable memory and I/O functionality
17x17 mm 256-pin PBGA
The Intel®PXA255 Applications Processor Advantage
FEATURES BENEFITS
High-performance, low-power Intel XScale®core at 200, 300 Ideal for high-performance personal digital assistants and wireless
and 400 MHz. Micro-power management including low-power communicators
modes and Turbo mode application acceleration
Intel®Media Processing Technology Optimized audio and video multimedia functionality
Enhanced Memory Controller Supports lower power 2.5V and 3.3V 32-bit and 16-bit memories as well
as glue-less burst and page mode interfaces with Synchronous Intel
StrataFlash®Memory
MMC/SD and PCMCIA/CF Card support Expandable storage and I/O device support
USB Client Fast host synchronization
1.84 MHz cellular baseband interface Efficient communications integration
920 Kbs Bluetooth* interface Broad inter-device communication
Variable latency I/O Add-on functionality capabilities
Processors and Chipsets developer.intel.com/design
26
INTEL®PXA26X PROCESSOR FAMILY
An ideal solution for the low-power, space-sensitive cellular phone market segment, the Intel®PXA26x processor family
takes portable multimedia processing and integrated memory to breakthrough application performance levels and
physical space savings. The Intel PXA26x processor family continues the promise of delivering leadership building blocks
based on the Intel Personal Internet Client Architecture (Intel PCA) for the wireless, handheld market segment.
The Intel®PXA261 and Intel®PXA262 processors are the first Intel PCA processors based on Intel’s Multiple-Chip
Product (MCP) packaging technology. This innovative technology utilizes an Intel XScale technology-based processor with
integrated peripherals which are “stacked” in a single package with either 128 Mb (Intel PXA261) or 256 Mb (Intel PXA262)
of Intel StrataFlash memory utilizing an internal 16-bit wide memory data bus. This enables higher levels of integration and
space savings than previous generations of standalone products.
Based on Intel XScale technology, the Intel PXA26x processor family delivers high performance and low power, while
providing processing capabilities that allow wireless handsets to respond quickly to performance-intensive applications like
MPEG4 video decode, speech and handwriting recognition, and Java interpretation. Featuring integrated peripherals, the Intel
PXA261 and Intel PXA262 processors offer wireless designers expanded functionality, such as an integrated LCD controller
with its own Direct Memory Access (DMA) as well as Multi-Media, Secure Digital and CompactFlash (MMC/SD/CF) card
support for expandable memory and greater versatility.
A variety of communication ports, including Universal Serial Bus (USB), Infrared (IrDA), I2S and AC’97 audio codec
interfaces, high-speed Bluetooth* and Baseband interfaces, Universal Asynchronous Receive and Transmit (UART), and
Synchronous Serial Port (SSP) enable fast synchronization and communication with other devices.
Manufactured using Intel’s 0.18-micron process technology, the integrated Intel StrataFlash memory offers unprecedented
value, performance and reliability, and remains the industry’s lowest cost-per-bit NOR Flash memory solution featuring
2-bit-per-cell technology.
The Intel PXA26x processor family uses a small 13x13x1.4 mm package, reducing board space and placement costs by
using one integrated component instead of multiple discrete Flash and processor components. The Intel PXA26x processor
family also provides enhanced scalability within the same envelope, as all derivative configurations utilize the same package
and same ball footprint, eliminating the need to redesign a device’s main Printed Circuit Board (PCB).
Complementing the Intel PXA26x processor family is a robust software and hardware development environment.
Developers have access to a large library of ARM-compliant applications and tools plus an abundant set of key wireless
operating systems and tool chains, including real-time and interactive development systems. Developers can use these
resources to build libraries of new feature-rich, industry-leading applications for Intel XScale technology-based products and
reduce overall time-to-market.
Finally, Intel delivers Intel Integrated Performance Primitives (Intel IPP) for Intel XScale technology-based processors that
helps enable designers to develop applications that can be ported to run on any Intel®processor and the Intel®Flash Data
Integrator (Intel®FDI) for Intel StrataFlash Memory which helps designers enable handheld devices to handle the complex
features and demands of Internet storage data. This combination allows highly optimized platforms for wireless handheld
devices that incorporate all the benefits of Intel PCA.
Key Applications
Data-enabled Cellular phones
Smartphones
Wireless Communicators
developer.intel.com/design Processors and Chipsets
27
The Intel®PXA26x Applications Processor Advantage
FEATURES BENEFITS
Multi-Chip Product Packaging Technology Helps reduce board size and placement costs by using one component
instead of three discrete components
Small package Features 13x13x1.4 mm package, 0.65 ball pitch, 294 balls
Scalability within same footprint Reduces design cycles by allowing derivative configurations (from high
end to entry level) in the same package and same ball footprint, helping
eliminate the need to redesign PCB and allows pin-for-pin drop-in
of alternate configurations
High-performance, low-power Intel XScale®core Ideal for wireless devices that require low power and advanced application
at 200 and 300 MHz performance. ARM* v.5TE ISA compliant
Micro-power management Offers low-power modes and “Turbo mode” application to improve
processing acceleration with efficient power consumption
Intel®Media Processing Technology Helps optimize audio and video multimedia functionality through an
integrated 40-bit accumulator
Enhanced Memory Controller Supports lower power 2.5V to 3.3V 32- and 16-bit memories as well as
glue-less burst and page mode interfaces with Synchronous Intel
StrataFlash®memory
Storage Card support Supports industry-standard expandable storage and I/O devices, including
Multi-Media, Secure Digital and CompactFlash cards
USB Client Fast host synchronization with USB host
1.84 MHz cellular baseband interface Efficient communications integration with celluar baseband
920 Kbs Bluetooth* interface Broad inter-device communication through industry-standard wireless link
Intel StrataFlash Memory 128 Mb or 256 Mb K3/L30 Utilizes reliable and proven two-bit-per-cell technology. High-performance
and high-density Intel®Flash memory. Synchronous burst mode
maximizes MIPS
Intel®PCA Cellular Processors: Intel PCA Cellular Processors are fully integrated cellular and application processors
that are at the heart of an advanced total system solution for today’s GSM/GPRS mobile phones. Intel PCA Cellular
Processors deliver leading-class performance, with industry-leading application headroom for voice and computation-
intensive data applications.
Intel®PXA800F Cellular processor: The Intel PXA800F Cellular Processor is designed for mainstream GSM/GPRS
handsets. This high-performance, power-efficient processor integrates Intel XScale technology with Intel®On-Chip Flash
memory and Intel®Micro Signal Architecture, jointly developed with Analog Devices, Inc.*, delivers class-leading
performance, with industry-leading headroom to support computation-intensive voice and data applications for mainstream
mobile phones.
Product Highlights
Full GSM/GPRS Class 12 solution
High-performance/Low-power Intel XScale technology core, providing class-leading headroom for rich
data applications
Intel Micro Signal Architecture
Intel On-Chip Flash Memory
Processors and Chipsets developer.intel.com/design
28
Intel®PXA800F Cellular Processor Features Intel XScale®Technology
High-performance, power-efficient processor supports data-intensive applications
Processor core operates at an adjustable clock frequency up to 312 MHz
Instruction cache and Data cache memories
4 MB integrated Intel On-Chip Flash memory
512 KB integrated SRAM
Memory controller supports synchronous Flash mode, page mode Flash, SRAM, SDRAM, and variable latency
DMA controller
Clock units—GSM slow clocking, GSM frame timing, watchdog, RTC
Supports a wide range of standard interfaces—SIM, UART, USB, I2C, SPI, SSP, Digital Audio Interface,
MultiMediaCard, Secure Digital Card, Sony Memory Stick, Dallas* 1-Wire* Interface, keypad, PWM D/A, JTAG
Interfaces for Bluetooth, IrDA, GPS and digital camera peripherals
LCD Controller for up to 120 x 240 display 16-bit color or gray scale
Intel®Micro Signal Architecture
Performs GSM/GPRS baseband signal processing
Modified Harvard architecture, dual-MAC, deep pipeline, 104 MHz execution clock
Instruction cache and 64 KB dual-banked data SRAM
512 KB integrated Intel On-Chip Flash for field-upgradable signal processing firmware
Includes microprocessor instructions such as bit manipulation
Includes cipher and Viterbi accelerators
Multiple sleep modes and integrated power management minimize power consumption
Interface support—digital I/Q, voice codec, auxiliary serial port for mixed-signal analog baseband, I2S audio codec
interface, RF synthesizer serial control interface, JTAG
Intel®On-Chip Flash Memory
Single bit-per-cell NOR Flash stores data and allows code to be executed in place (XIP)
All the on-chip memory required to run the GSM/GPRS protocol stack
The entire RTOS and JVM could fit in the on-chip Flash, accelerating performance and reducing power
Potential to reduce memory latency issues with time-critical applications
Companion Chips: Companion chips are highly integrated and power-efficient components that complement the
leadership performance of the Intel SA-1110 processor by providing I/O capabilities designed for emerging wireless
multimedia applications.
developer.intel.com/design Processors and Chipsets
29
INTEL®2700G MULTIMEDIA ACCELERATOR
The Intel®2700G multimedia accelerator is a companion chip that, when paired with the Intel PXA27x processor family,
delivers hardware-accelerated video and graphics for handheld devices.
Product Highlights
Next-generation communicators and PDAs enable a host of new entertainment-focused usage models such as capturing
and sharing pictures or video clips, video playback and interactive gaming. The introduction of VGA color LCDs has
improved the user’s visual experience for multimedia applications. The Intel 2700G multimedia accelerator is a companion
chip that, when paired with the Intel PXA27x processor family, delivers hardware-accelerated video and graphics for
handheld devices. The Intel 2700G enables rich 2D and 3D graphics, high-quality video playback and enterprise-worthy dual
display without sacrificing battery life.
Intel’s multimedia accelerator line features two products that differ in their on-die memory configurations for low power
display refresh. The Intel®2700G3 has 384 KB on-die memory and the Intel®2700G5 has 704 KB on-die memory and is
optimized for VGA resolution display devices.
DVD-Quality Video Playback
User demands for quality video playback are driving development of color LCDs, cameras, and high-bandwidth
connectivity in handhelds. The Intel 2700G is designed to accelerate the leading handheld video formats, including
MPEG-2, MPEG-4 and Windows Media Video*. By working closely with leading ISVs, Intel optimizes top video players so
they deliver DVD-quality video playback on the Intel®platform.
Performance 2D/3D Graphics
Handsets and PDAs have traditionally not offered a gaming experience comparable to the PC user’s experience. The Intel
2700G, together with the Intel PXA27x processor family, is designed to enable a new level of 2D graphics and 3D
gaming capabilities. Coupled with support for standard 3D APIs such as OpenGL* ES and JSR-184, software developers will
be able to quickly port or create brand-new, near PC-quality games for handheld platforms. In addition, traditional 2D
applications such as a graphical user interface will enjoy faster response times, quicker screen refreshes and crisper icons.
Low Power
Battery life is one of the most important considerations in designing a handheld device. As multimedia capabilities
increase, battery life typically decreases. The Intel 2700G multimedia accelerator has been designed from the ground up
to work with the Intel PXA27x processor family to provide a balanced approach to performance and power.
Using an advanced low power manufacturing process, the chip is designed for low power in all operating modes, extending
battery life for entertainment-oriented applications. The Intel 2700G’s highly optimized multimedia capabilities are designed
to operate efficiently at extremely low-power. By offloading graphics and video traffic, the Intel 2700G helps to enable the
Intel PXA27x processor to handle other types of traffic, such as wireless connectivity and audio content.
Low power display refresh is another power-saving feature in the Intel 2700G. Because handheld devices are typically in
display refresh mode frequently during use, it is imperative that the platform provides a very low power display refresh
solution. The Intel 2700G3 and Intel 2700G5 have 384 KB and 704 KB on-die memory configurations respectively. These
chips are designed to refresh HVGA and VGA resolution displays using significantly less power than solutions refreshing
from higher power external memory.
Processors and Chipsets developer.intel.com/design
30
Performance Dual Display
The Intel 2700G multimedia accelerator helps bring exciting new dual display capabilities to handheld devices. With
support for two displays and high resolution images, the Intel 2700G helps to allow business users to connect their
handheld devices to a projector and deliver presentations while away from the office. The dual display capabilities also
support independent content on separate displays. For the first time, enterprise users will have the unique ability to view
presentation notes on their PDA screen while their audience views the presentation on the projector.
Broad Market Support
The Intel 2700G multimedia accelerator meets the needs of broad market segment applications like telematics, portable
media players and industrial tablets. The LCD controller supports displays with resolutions up to 1280x1024 at 16-bit
color and advanced display features like partial display refresh.
FEATURES BENEFITS
Robust hardware video decode support for MPEG-2, MPEG-4 DVD-quality video playback
and Windows Media Video*
Optimized video players
Graphics acceleration hardware Designed to deliver unprecedented handheld gaming experience
3D API support
Optimized 3D games
On-die memory configuration* Balanced system performance and power, extended battery life
Optimization for Intel®PXA27x processor
Performance dual display architecture Enterprise-worthy dual display
Optimized dual display application
STRONGARM* INTEL®SA-1111
The Intel®SA-1111 companion chip provides integrated technology for full-featured, versatile designs.
Product Highlights
Complements the Intel SA-1110 and Intel PXA25x processor’s leadership performance/power attributes with advanced
I/O capabilities
Provides a highly integrated solution for emerging wireless multimedia applications
Provides design flexibility through a wide range of full-function interfaces
Supported by a rich suite of Intel®and third-party hardware and software development tools
The Intel SA-1111 companion chip, a highly integrated and power-efficient component, complements the leadership
performance of the Intel SA-1110 processor by providing key I/O capabilities designed for emerging wireless multimedia
applications. The Intel SA-1111 brings a new level of integration to portable wireless devices and enables key attributes such
as reduced component count, low power dissipation and high performance. The Intel SA-1111 provides a USB host controller,
direct connection to AC-link and I2S audio codecs, buffering for one PCMCIA slot and one CF slot, and multiple additional
I/O interfaces. A dedicated memory controller can reduce bandwidth demands, to maximize overall system performance.
This rich suite of features, combined with a robust development environment, enables manufacturers to bring competitive
portable wireless devices to market quickly.
Support for USB Devices
Featuring a Universal Serial Bus (USB) host controller, the Intel SA-1111 companion chip enables integration with
USB-compatible devices and offloads the Intel SA-1110 processor through DMA data transfers. The Intel SA-1111
is compatible with the Open Host Controller Interface (OHCI), Windows* 95 USBD, and USB Rev 1.1. USB-compliant
devices can “plug and play” with portable wireless products that incorporate the Intel SA-1110 processor and Intel SA-1111
companion chip.
developer.intel.com/design Processors and Chipsets
31
Integrated I/O Interfaces Provide Scalable, Flexible Handheld Designs
To provide maximum design flexibility, reduce design time and lower cost, the Intel SA-1111 companion chip
integrates a broad spectrum of I/O interfaces. It incorporates two PS/2 ports, an AC-link, I2S and L3 serial ports
for audio, an SSP serial data port, two PWM outputs, one PCMCIA interface, one CompactFlash Interface, and
general-purpose I/O (GPIO) pins.
The Intel SA-1111 companion chip’s SSP serial data port supports National Microwire*, TI* Synchronous Serial Protocol
(SSP), and Motorola* Serial Peripheral Interface (SPI) serial protocols, enabling designs incorporating a wide selection of
components that require serial communication. PCMCIA and CompactFlash control logic and buffers are integrated within
the Intel SA-1111. This eliminates up to 12 external devices, providing a highly integrated, cost-effective and low-power
solution for PC companions and vertical handheld applications. Both the USB controller and the serial audio controller make
use of DMA to offload the Intel SA-1110 microprocessor, freeing compute resources and bandwidth.
The advanced interfaces and features of the Intel SA-1111 companion chip offer broad flexibility to support multiple
cost-effective handheld configurations while minimizing time-to-market constraints. The Intel SA-1111 is packaged in
a 256-pin mBGA.
Low Power Consumption Minimizes Power Requirement
The selective clock-gating feature and three power-down modes (idle, doze and sleep) of the Intel SA-1111 minimize
system power requirements. The Intel SA-1111 can power down individual functional blocks that are not in use and
power them up quickly when they are needed.
Development Tools
Intel Integrated Performance Primitives: The Intel Integrated Performance Primitives (IPP) provide a rich and powerful set
of general and multimedia signal processing kernels optimized for maximum performance on Intel Personal Internet Client
Architecture (PCA) Applications Processors.
Intel®Integrated Performance Primitives for the Intel®PXA25x and Intel®PXA26x family
of Processors, Version 3.0 Beta
The Intel Integrated Performance Primitives (Intel IPP) provides a cross-platform, low-level software interface that abstracts
multimedia and signal processing from the Intel Personal Internet Client Architecture (Intel PCA) Processors. Intel IPP
includes a broad range of functions for basic software functionality including general signal, image, speech and audio
processing, vector manipulation and matrix math, as well as more sophisticated primitives for construction of audio, video
and speech codecs such as MP3 (MPEG-1 Audio, Layer 3), MPEG-4, H263, JPEG, GSM-AMR, G723.1 and cryptography
(DES, TDES, SHA1, and RSA).
The Intel IPP release 3.0 Beta introduces additional primitives to support the following codecs/applications:
MPEG4—Encoder (simple Profile)
AAC—Decoder (Low Complex)
MP3—Encoder
JPEG 2000—Encoder/Decoder
General audio processing—Echo cancellation, VAD
Rijndael/TDES cryptography application, and more.
With each function highly optimized for the underlying Intel PCA Processors, Intel IPP provides developers with
a powerfully simple way to maximize Intel®processor performance without writing assembly code.
Intel®IPP 3.0 Beta Documentation:
Learn more about the powerful capabilities of Intel IPP.
Intel Integrated Performance Primitives for the Intel PXA26x and Intel PXA25x family of Processors
Intel IPP Product Brief
Intel IPP Case Studies
Processors and Chipsets developer.intel.com/design
32
To download Intel®IPP, three easy steps are required:
1. Register for Intel IPP Version 3.0 Beta
2. Check your e-mail. After registering, you will be sent an e-mail with download instructions. If you are a new customer
or haven’t previously used Intel®Premier Support, you will also receive a second e-mail with your login ID and password
information.
3. Follow the instructions to download Intel IPP from our Intel Premier Support Web site.
Intel®IPP Release Notes:
Intel®IPP for Microsoft Pocket PC* 2002 Release Notes
Intel®IPP for Linux* Release Notes
Intel®Graphics Performance Primitives (Intel®GPP) for the Intel®PXA2xx Family
of Processors, Version 1.1 Beta
The Intel®Graphics Performance Primitives (Intel®GPP) provide a rich and powerful set of 3D graphics functions
optimized for the Intel Personal Internet Client Architecture (Intel PCA) Applications Processors. Intel GPP include a
broad range of 3D graphics functions including date-type conversion, arithmetic, trigonometric, vector, matrix, and raster
primitives.
With each function highly optimized for the underlying Intel PCA Applications Processors, the Intel GPP provide
developers a powerfully simple way to maximize Intel processor performance without writing assembly code.
Intel®GPP V1.1 Beta Documentation:
Learn more about the powerful capabilities of Intel GPP.
Intel Graphics Performance Primitives for the Intel®PXA2xx Family of Processors Reference Manual
Intel®GPP V1.1 Beta Library Download:
The Intel GPP V1.1 Beta release supports the Microsoft Pocket PC* 2002 and Microsoft Smartphone* 2002 operating
systems.
Intel Graphics Performance Primitives: Installation Package and Release Notes
INTEL®PCA TECHNOLOGY
Intel XScale®Technology with Intel®Wireless MMXTechnology
The trend toward rich multimedia and communications capabilities on mobile devices is growing. End users in the
handheld wireless market segment demand multimedia and communication experiences similar to those they enjoy on
their desktop—but in a mobile setting. To meet that need, software developers require easy-to-use, general-purpose processor
capabilities that provide the performance necessary for rich multimedia. Device manufacturers require that this be
accomplished without sacrificing battery power.
Intel®Wireless MMXtechnology is the latest high-performance, low-power, seamless extension to Intel XScale
microarchitecture. Intel Wireless MMX technology offers developers a powerful set of new instructions for future Intel
Personal Internet Client Architecture (Intel PCA)-based processors that will help enhance the multimedia experience of Intel
PCA-based mobile devices. Developed from a solid foundation of Intel®Architecture (IA) technologies, Intel Wireless MMX
technology combines the Intel MMX technology instruction set, the integer instructions from Intel®Streaming SIMD
Extensions (Intel®SSE) plus several brand-new multimedia acceleration instructions unique to Intel XScale microarchitecture.
This powerful 64-bit Single Instruction Multiple Data (SIMD) architecture gives a performance boost to many applications
including motion video, graphics combined with video, image processing, audio synthesis, speech synthesis and
compression, telephony, conferencing, 2D graphics, and 3D graphics. Intel Wireless MMX technology brings 43 new
instructions to Intel XScale microarchitecture and is enabled by four primary capabilities: Intel®64-bit Data Pipeline, Intel®
Parallel Media Processing, Intel®Media Power On-Demand, and Intel®Multi-Sample Technology. The Intel 64-bit Data
developer.intel.com/design Processors and Chipsets
33
Pipeline is tightly coupled to the Intel XScale microarchitecture pipeline resulting in more efficient and fast data transfers.
Intel Parallel Media Processing allows Intel Wireless MMX technology to accelerate many applications, that perform
calculations on integer data in a repetitive and sequential manner due to its ability to process 8-bit, 16-bit, and 32-bit data
elements in parallel. Intel Wireless MMX instructions can be interleaved with Intel XScale microarchitecture instructions and
thanks to Intel Media Power On-Demand Technology, the Intel Wireless MMX technology is only activated when required to
execute instructions. Finally, Intel Multi-Sample Technology helps improve multimedia algorithm performance by utilizing
the large register file of Intel Wireless MMX to store the many intermediate results required when calculating multiple output
values concurrently. This allows Intel Wireless MMX instructions to maximize the use of data fetched from memory to help
accelerate audio/video performance and help reduce power consumption.
Intel Wireless MMX technology was defined to be simple. PC software developers who have already utilized Intel MMX
technology and Intel SSE will find a familiar programming environment in Intel Wireless MMX technology that will help
speed the porting of existing code bases from the Intel Architecture to Intel PCA-based mobile devices. And Intel Wireless
MMX technology is general enough to address the needs of a large domain of mobile software applications built from current
and future algorithms. Finally, Intel Wireless MMX instructions can be used in applications, codecs, algorithms, and drivers.
Intel®Micro Signal Technology:
Product Information
In order to address the rapidly expanding market segment for communications and portable computing devices, Analog
Devices (ADI) and Intel jointly developed Micro Signal Architecture that incorporates both Digital Signal Processing
(DSP) and microcontroller functionality in a single core. The Intel/ADI* core delivers vast improvements in performance,
programmability and power consumption over traditional DSP design.
Both ADI and Intel will take the Micro Signal Architecture core and separately develop products based on it.
Intel®Micro Signal Architecture (Intel®MSA) incorporates Digital Signal Processor (DSP) and microcontroller features
in a single platform. It combines a highly efficient computational architecture with features more normally seen on
microcontrollers, such as optimizations for high-level language programming, memory protection and byte addressing.
This results in the ability to execute highly complex DSP tasks and simple control tasks in a single core architecture. Intel
MSA is ideal for a variety of battery-powered communications and consumer applications that require high-intensity
signal processing on a strict power budget.
High Performance, Low Power
Intel MSA is the first DSP architecture to incorporate Dynamic Power Management (DPM) capabilities, delivering 10 times
the normal battery life at one-third peak performance. DPM supports continuous monitoring of the software running on the
architecture and enables dynamic adjustments of both the voltage delivered to the core and the frequency at which the core
runs (MHz). This results in optimized power consumption and performance for real-time applications.
Extended Computing with Intel XScale®Microarchitecture:
Utilizing Intel XScale®Technology
Smart Displays* are wireless flat-panel displays that are used as monitors when docked to a base desktop PC, but when
detached, become a mobile monitor using 802.11b wireless LAN technology to access information and applications from
the desktop PC from anywhere in the home. Smart Displays utilizes the industry-leading performance of Intel XScale
technology-based processors and Intel StrataFlash memory.
Some of the top makers of wireless Smart Displays such as AboCom*, Fujitsu, Lite-On, MSI, Philips*, Tatung*, TriGem
and ViewSonic*—have selected Intel XScale technology-based processors to power new products that help enable wireless
PC access throughout the home. Smart Displays running on Intel XScale technology are available today in retail from
ViewSonic and Philips, with products currently targeted to be released later this year from Fujitsu and TriGem.
Processors and Chipsets developer.intel.com/design
34
Intel®Telematics Solutions for Emerging Digital Car Products and Services
Telematics Design Center
The Intel®Telematics Design Center provides Web-based development and technical support for designing navigation,
multimedia, hands-free phone, and other in-car Internet products and applications using Intel XScale technology-
based processors.
Intel®Wireless Building Blocks for Telematics Product
Intel is supplying new multimedia-capable components for current and next-generation cellular technology, including
high-performance, low-power processors, flash memory, chipsets, and software.
Intel®Portable Media Player
The Portable Media Player (PMP) is a new type of mobile entertainment product pioneered by Intel. PMPs will be small
enough to fit in a coat pocket and will allow people to take video, still pictures and music with them anywhere they go—
on the plane, train, or bus, to the gym, or hand it to the kids sitting in the back seat of the car during a long drive. Intel®-based
PMPs will feature high-quality video playback using an Intel XScale technology-based processor. The different types of
media can be transferred to a PMP from a PC or a Personal Video Recorder using a fast Hi-Speed USB 2.0 connection.
Intel®PCA Developer’s Network: You have an idea for an innovative wireless product. Or you have a development
solution that can save other developers time and make you money. You just need the right marketing opportunities and
industry connections to make it a success and reduce time-to-market. In today’s competitive wireless environment, it’s not
only a matter of what you know, but whom you know.
Membership in the Intel PCA Developer Network gives you fast access to the resources you need.
The enhanced Intel®PCA Developer Network Solutions Catalog is an extensive database of third-party tools and solutions
that help developers identify and connect with the right silicon, hardware designs, board support platforms, independent
software vendors, development tools, and manufacturing resources. The new Intel®PCA Developer Network Smart-Match
Reference Configuration Tool makes recommendations based on specific application and platform requirements.
As a member of the Intel PCA Developer Network, you are just a click away from a community of fellow developers who
can help you cut time-to-market and reach new customers for innovative solutions based on Intel PCA. Your membership
opens the door to these exclusive opportunities.
developer.intel.com/go/PXA255 Processors and Chipsets
35
Embedded PXA Processor Overview
INTEL®PXA255 PROCESSOR
Designed for a Wide Range of Embedded Devices
Numerous embedded and portable consumer electronics devices simplify our lives, keep us entertained and increase
productivity. Each day businesses and individuals rely on them more and more. But, to continue satisfying ever-
increasing customer demands to communicate and access information, manufacturers need technologies that deliver high
performance, flexibility and robust functionality—all in the small-size, low-power framework of portable, battery-powered
products. The PXA255 application processor with Intel XScale®technology pushes device functionality to new heights. With
a seven-stage pipeline and faster processing speeds, these microprocessors enable devices to meet the performance demands
of their customers. Coupled with Intel’s power management capabilities, the PXA255 delivers unparalleled operating
efficiency. By reducing component count and board space, lowering power consumption, minimizing system costs and
reducing time-to-market, these applications processors deliver solid competitive advantage and superior devices.
INTEL®PXA255 HIGH-PERFORMANCE APPLICATIONS PROCESSOR
Packing feature-rich devices with multimedia performance
For advanced devices that run the most demanding applications, the Intel®PXA255 Applications Processor is the answer.
This highly integrated, 32-bit RISC processor combines the efficiency of Intel design with the industry-standard ARM*
v.5TE instruction set architecture and the processing power of built-in multimedia capabilities for superior performance and
unmatched handheld functionality. This enables increased end-user functionality, the longest battery life and a richer
experience. Based on Intel XScale technology, the Intel PXA255 cost-effectively boosts processing speed and power
management for one of the industry’s best MIPS/mW ratio. Larger memory caches and gated clocks ensure faster functions
with lower power dissipation. The processing capabilities of the Intel PXA255 enable portable devices to respond faster to
optimized operating systems and performance-intensive applications like MP3 audio decode, MPEG4 video decode, speech
and handwriting recognition, and Java* interpretation. From surfing animated Web sites to streaming video to mixing MP3s,
the Intel PXA255 delivers processing power for superior performance.
The Development Ecosystem
Outstanding performance and low power consumption are only the beginning when you develop with the Intel PXA255
applications processor. Our robust software and hardware development environment offers a large library of ARM-
compliant applications and tools, as well as an array of operating systems and tool chains to ease application development
and system prototyping. The Intel®Integrated Performance Primitives (Intel®IPP) library promises easy access to an array of
low-level, cross-platform software algorithms for high-demand communications, signal processing, mathematics and media
functions. The Intel IPP’s highly optimized implementation helps reduce battery consumption through more efficient CPU
execution, enables developers to focus on value-add features, and speeds time-to-market. With the Intel®development
ecosystem, you have the resources you need to deliver the features your consumers want—efficiently and effectively.
Processors and Chipsets developer.intel.com/go/PXA255
36
Intel®PXA255 At-A-Glance:
High Performance:
Low-power, high-performance 32-bit Intel XScale®core-based CPU—200, 300, and 400MHz
ARM Architecture v.5TE compliant and application code compatible with Intel®SA1110 processor for rapid upgrade
Intel®Superpipelined RISC Technology utilizing advanced Intel®0.18µ process for high core speeds at low power
Intel®Media Processing Technology including 40-bit accumulator and 16-bit SIMD to enhance audio/video
decode performance
High-performance glue-less burst and page mode interfaces with Synchronous Intel StrataFlash®Memory
Low Power:
Low power and Turbo modes for optimal battery life
32 KB data and 32 KB instruction caches
2 KB Mini data cache for streaming data
Support for 2.5 and 3.3V memories
I/O Expansion:
Integrated Memory and PCMCIA/Compact Flash Controller with 100 MHz Memory Bus, 16-bit or 32-bit ROM/Flash/
SRAM (six banks), 16-bit or 32-bit SDRAM, SMROM (four banks), as well as PCMCIA and Compact Flash for added
functionality and expandability
System Control Module includes 17 dedicated general-purpose interruptible I/O ports, real-time clock, watchdog and
interval timers, power management controller, interrupt controller, reset controller, and two on-chip oscillators
Portable & User Interface:
Peripheral Control Module offers 16-channel configurable DMA controller, integrated LCD controller with unique
DMA for fast color screen support, Bluetooth* I/F, serial ports (IrDA, I2C*, I2S*, AC’97, three UARTs, SPI and SSP),
USB end point interface, and MMC/SD Card Support for expandable memory and I/O functionality
17x17 mm 256-pin PBGA
The Intel®PXA255 Applications Processor Advantage
FEATURES BENEFITS
High-performance, low-power Intel XScale®core at 200, 300 Ideal for a wide range of embedded applications
and 400 MHz. Micro-power management including low-power
modes and Turbo mode application acceleration
Intel®Media Processing Technology Optimized audio and video multimedia functionality
Enhanced Memory Controller Supports lower power 2.5V and 3.3V 32-bit and 16-bit memories as
well as glue-less burst and page mode interfaces with Synchronous
Intel StrataFlash®Memory
MMC/SD and PCMCIA/CF Card support Expandable storage and I/O device support
USB Client Fast host synchronization
1.84 MHz cellular baseband interface Efficient communications integration
920 Kbs Bluetooth* interface Broad inter-device communication
Variable latency I/O Add-on functionality capabilities
developer.intel.com/go/PXA255 Processors and Chipsets
37
EXTENDED COMPUTING WITH INTEL XSCALE®MICROARCHITECTURE:
Intel®Telematics Solutions for Emerging Digital Car Products and Services
Telematics Design Center
The Intel®Telematics Design Center provides Web-based development and technical support for designing navigation,
multimedia, hands-free phone, and other in-car Internet products and applications using Intel XScale®technology-based
processors.
Intel®Building Blocks for Telematics Product
Intel is supplying new multimedia-capable components including high-performance, low-power processors, flash
memory, chipsets, and software.
Intel®Portable Media Player
The Portable Media Player (PMP) is a new type of mobile entertainment product pioneered by Intel. PMPs powered by
an Intel XScale®Technology-based PXA255 processor allow users to enjoy their favorite media anywhere, anytime.
Intel®-based PMPs:
Create an exciting, feature rich and high-quality user experience
Enable users to enjoy video, still images and music in a device small enough to fit in a coat pocket
Accommodate the quick transfer of different types of media from a PC or Personal Video Recorder using USB2.0
Deliver high video performance with low battery consumption
Delivering on its vision for Portable Media Players, Intel is continuously working with numerous consumer electronics
industry leaders to further develop the portable media player ecosystem.
Processors and Chipsets developer.intel.com/design/intelxscale
38
Intel XScale
®
Microarchitecture
INTEL XSCALE®MICROARCHITECTURE PRODUCT OVERVIEW
With Intel XScale®microarchitecture, a wide range of Internet applications can be optimized from ultra-low power
consumption to high-performance processing. Everything from handheld Internet devices to enterprise Internet
infrastructure products can now process rich content at all stages of the Internet.
This new high-performance, ultra-low-power microarchitecture is compliant with the ARM Version 5TE ISA instruction
set (excluding the floating-point instruction set). The microarchitecture surrounds the ARM-compliant execution core with
the following:
Instruction and data memory management units
Instruction, data and mini-data caches
Write, fill, pend and branch target buffers
Power management, performance monitoring, debug and JTAG units
Coprocessor interface
MAC coprocessor
Core memory bus
The Intel XScale microarchitecture, when combined with peripherals, provides Applications Specific Standard Products
(ASSP) targeted at selected market segments. For example, the microprocessor core can be integrated with an LCD
controller, multimedia controllers and an external memory interface. This gives OEMs the ability to develop smaller, more
cost-effective handheld devices with long battery life and the performance to run rich multimedia applications. Or the
microprocessor core could be surrounded by high-bandwidth PCI interfaces, memory controllers and networking
microengines to provide a highly integrated, high-performance I/O or network processor.
Designed with Intel’s state-of-the-art 0.18-micron production semiconductor process technology, Intel XScale
microarchitecture enables operation of the microprocessor core over a wide range of speed and power, producing industry-
leading mW/MIPS performance.
developer.intel.com/design/intelxscale Processors and Chipsets
39
FEATURES BENEFITS
Intel®Superpipelined RISC Technology 7-stage integer/8-stage memory superpipelined core achieves high speed
and ultra-low power
Intel®Dynamic Voltage Management Dynamic voltage and frequency scaling on-the-fly allows applications
to utilize the right blend of performance and power
Intel®Media Processing Technology Multiply-Accumulate Coprocessor performs two simultaneous 16-bit SIMD
multiplies with 40-bit accumulation for efficient media processing
Power Management Unit Gives power savings via idle, sleep, and quick wake-up modes
128-entry Branch Target Buffer Keeps pipeline filled with statistically correct branch choices
32 KB Instruction Cache Keeps local copy of important instructions to enable high performance
and low power
32 KB Data Cache Keeps local copy of important data to enable high performance and
low power
2 KB Mini-Data Cache Avoids “thrashing” of the D-Cache for frequently changing data streams
32-entry Instruction Memory Management Unit Enables logical-to-physical address translation, access permissions,
I-Cache attributes
32-entry Data Memory Management Unit Enables logical-to-physical address translation, access permissions,
D-Cache attributes
4-entry Fill and Pend Buffers Promotes Core efficiency by allowing non-blocking and “hit-under-miss”
operation with Data Caches
Performance Monitoring Unit Furnishes two 32-bit event counters and one 32-bit cycle counter for
analysis of hit rates, etc.
Debug Unit Uses Hardware Breakpoints and 256-entry Trace History Buffer
(for flow change messages) to debug programs
32-bit Coprocessor Interface Provides high-performance interface between core and coprocessors
64-bit Core Memory Bus with simultaneous 32-bit input path Gives up to 4.8 Gbps @ 600 MHz bandwidth for internal accesses
8-entry Write Buffer Allows the Core to continue execution while data is written to memory
Third-Party Vendor Program
Intel will provide a complete development environment for fast Time-To-Market designs based on the Intel XScale
microarchitecture. A number of Third-Party Vendors (TPVs) are enthusiastically supporting this new microarchitecture and
will be participating in Intel’s tools support programs for this microprocessor core. The Intel XScale microarchitecture
development platforms will include a full set of software development tools and related documentation, including both GNU
and ARM-based toolchains.
Cygnus/Red Hat* and ARM, CAD-UL*, and Green Hills will be providing compiler, assembler, linker, debugger, and
monitor software support for the Intel XScale microarchitecture. There will be a variety of operating system development
solutions available for designers, because this next-generation RISC microarchitecture’s balanced power to performance
attributes make it applicable across a broad spectrum of application environments.
The Intel XScale microarchitecture will be supported by many operating systems, including those from Accelerated
Technology*, Microsoft, MontaVista*, Symbian*, and Wind River*. Additionally, full hardware debug support will be
available from vendors such as ARM, Macraigor Systems*, and Wind River.
Processors and Chipsets developer.intel.com/design/intelxscale
40
Intel XScale®Microarchitecture
Introduced at Intel Developers Forum, August 2000
Provides industry-leading MIPS/mW performance in an ARM v.5TE-compliant core
Provides scalability for high performance and low power within a specific application
FEATURES BENEFITS
ARM* v.5TE-Compliant Core Compatibility with the broadest range of applications/OSs written
for wireless devices
Industry-leading MIPS/mW High-performance and low-power capabilities
Intel®Dynamic Voltage Management On-the-fly voltage and frequency scaling
Intel®Media Processing Technology Core enhancements that enable efficient multimedia processing
Advanced cache architecture Cache architecture optimized for high performance and low power
INTEL®XSCALECORE POWER PERFORMANCE
MIPS 50 150 400 600 733 800 1GHz
POWER CONSUMPTION 10mW 40mW 180mW 450mW 640mW 900mW 1.6W
Intel XScale®Microarchitecture Enhancements
over Intel®StrongARM* Architecture
ARM v.5TE compliance
Lower power at the same frequency
–Intel XScale core = 80mW @ 200 MHz (1.0V)
–Intel®SA1 core = 400mW @ 206 MHz (1.75V)
Advanced RISC architecture
–Intel®Superpipelined Technology
–Larger caches, advanced cache architecture
–Dynamic branch prediction
Enhanced multimedia capabilities
Extensive debug support/hardware JTAG support
Intel®0.18µ leading-edge process
–Higher performance, lower power
–More scalable power performance
Future Intel XScale®Microarchitecture Solutions
for many applications
Internet backbone devices, i.e., Routers, Switches, etc.
DSLAM Line Cards and Voice access equipment
Internet Storage RAID/SAN
PDAs
Smart phones
developer.intel.com/design/flash Intel®Flash Memory for Wireless Applications
41
Today’s wireless developers are faced with the challenge of managing the explosion of emerging data types and designing
smaller form factors, all while trying to manage costs. Intel’s innovative flash memory components, high-volume stacked
packaging and sophisticated software provide some of the most advanced, cost-effective, single-chip solutions for code
execution and data storage. It’s no wonder Intel is the world’s leading supplier of flash memory for wireless cell phones and
consumer targeted handheld devices. Discover Intel®Flash memory, the solution of choice for wireless designs.
INTEL®STACKED-CHIP SCALE PACKAGING (SCSP) PRODUCTS—
NEW LEVELS OF SPACE SAVINGS FOR WIRELESS
Intel®Stacked-Chip Scale Packaging (Intel®Stacked-CSP) products deliver wireless customers a new generation of memory
subsystems by combining high-density Intel StrataFlash®Memory with flexible RAM options for higher levels of
integration and space savings.
Intel has developed an extensive portfolio of Standard Stacked-CSP products designed to provide the widest range of
Flash and RAM product combinations, fast time-to-market, and flexibility to meet your application design requirements.
Standard Intel Stacked-CSP products feature x16 and x32 bus widths as well as SRAM, PSRAM, and LP-SDRAM options
all in common footprints to allow easy migration for your design.
Key Messages:
World-Class Silicon
Packaging Technology Leadership
Extensive RAM supplier network
Manufacturing Excellence
FEATURES BENEFITS
Standard Stacked-CSP Products Provide widest range of Flash + RAM combinations
Fast time-to-market
Application Flexibility
Intel®Multi-Level Cell (MLC) Technology Great value and 4th generation of proven reliability
Low-profile height and small X & Y dimensions from Small Intel®Stacked Chip Scale Package/CSP form factor for space-
8 mm x 10 mm and height-constrained applications
Standard x16 and x32 Ballouts Enables easy upgrades across Flash and RAM product densities
and technologies
Intel®Ultra-Thin Stacked Chip Scale Package Technology Allows up to 5 die stack
Provides low package profile from 0.8 mm to 1.2 mm
Intel
®
Flash Memory
for Wireless Applications
SCSP COMPONENTS AT A GLANCE: DESIGN-IN PRODUCTS
PRODUCT NAME PRODUCT COMBINATION ACCESS TIME (NS) TEMP RANGE PACKAGE BALL COUNT VCC/VCCQ VPP/VPEN LEAD/LEAD-FREE
INTEL STRATAFLASH®WIRELESS MEMORY SYSTEM (L18/L30 SCSP)
LQ 18/30 Code Flash, SRAM, PSRAM (QUAD+ballout)
48F2000L0 64L18+0 85[25]b[14]c P RD 88 1.8V/1.8V 0.9–2.0V or 9V L
48F2000L0 64L30+0 85[25]b[17]c P RD 88 1.8V/3.0V 0.9–2.0V or 9V L
48F3000L0 128L18+0 85[25]b[14]c P RD 88 1.8V/1.8V 0.9–2.0V or 9V L, LF
48F3000L0 128L30+0 85[25]b[17]c P RD 88 1.8V/3.0V 0.9–2.0V or 9V L, LF
48F3300L0 128L18+128L18 85[25]b[14]c P RD 88 1.8V/1.8V 0.9–2.0V or 9V LF
48F3300L0 128L30+128L30 85[25]b[17]c P RD 88 1.8V/3.0V 0.9–2.0V or 9V L
48F4000L0 256L18+0 85[25]b[14]c P RD 88 1.8V/1.8V 0.9–2.0V or 9V L, LF
48F4000L0 256L30+0 85[25]b[17]c P RD 88 1.8V/3.0V 0.9–2.0V or 9V L, LF
48F4400L0 256L18+256L18 85[25]b[14]c P RD 88 1.8V/1.8V 0.9–2.0V or 9V L, LF
48F4400L0 256L30+256L30 85[25]b[17]c P RD 88 1.8V/3.0V 0.9–2.0V or 9V L
38F3040L0 128L18+32P 85[25]b[14]c P RD 88 1.8V/1.8V 0.9–2.0V or 9V L, LF
38F3040L0 128L30+32P 85[25]b[17]c P RD 88 1.8V/3.0V 0.9–2.0V or 9V L, LF
38F3050L0 128L18+64P (Burst) 85[25]b[14]c P RD 88 1.8V/1.8V 0.9–2.0V or 9V L
38F3050L0 128L30+64P 85[25]b[17]c P RD 88 1.8V/3.0V 0.9–2.0V or 9V L
38F3340LL 128L18+128L18+32P 85[25]b[14]c P RD 88 1.8V/1.8V 0.9–2.0V or 9V L
38F4050L0 256L18+64P (Burst) 85[25]b[14]c P RD 88 1.8V/1.8V 0.9–2.0V or 9V L, LF
38F3350LL 128L30+128L30+64P 85[25]b[17]c P RD 88 1.8V/3.0V 0.9–2.0V or 9V L
38F3352LL 128L30+128L30+64P+8S 85[25]b[17]c P RD 88 1.8V/3.0V 0.9–2.0V or 9V L, LF
38F4055L0 256L30+64P+64P 85[25]b[14]c P RD 88 1.8V/1.8V 0.9–2.0V or 9V L
38F4055L0 256L18+64P+64P (Burst) 85[25]b[14]c P RD 88 1.8V/1.8V 0.9–2.0V or 9V L
38F4350LL 256L18+128L18+64P (Burst) 85[25]b[14]c P RD 88 1.8V/1.8V 0.9–2.0V or 9V L
38F4455LL 256L30+256L30+64P+64P 85[25]b[14]c P RD 88 1.8V/1.8V 0.9–2.0V or 9V L
38F4455LL 256L18+256L18+64P+64P (Burst) 85[25]b[14]c P RD 88 1.8V/1.8V 0.9–2.0V or 9V L
LX 18/30 Code Flash, SRAM, SDRAM (Performance ballouts)
38F4060L0 256L18+128SD (x16) 85[25]b[14]c P LZ 103 1.8V/1.8V 0.9–2.0V or 9V L
38F4070L0 256L18+256SD (x16) 85[25]b[14]c P LZ 137 1.8V/1.8V 0.9–2.0V or 9V L, LF
38F4462LL 256L18+256L18+128SD +8S 85[25]b[14]c P RD 103 1.8V/1.8V 0.9–2.0V or 9V LF
48F3300L0 128L30+128L 30(x32) 85[25]b[17]c P RD 137 1.8V/3.0V 0.9–2.0V or 9V L
48F3300L0 128L18+128L18 (x32) 85[25]b[14]c P LZ 137 1.8V/1.8V 0.9–2.0V or 9V L, LF
48F3300L0 128L30 +128L30 (x32) 85[25]b[17]c P LZ 137 1.8V/3.0V 0.9–2.0V or 9V L
48F4400L0 256L18+256L18 (x32) 85[25]b[14]c P LZ 137 1.8V/1.8V 0.9–2.0V or 9V L, LF
48F4400L0 256L30+256L30 (x32) 85[25]b[17]c P LZ 137 1.8V/3.0V 0.9–2.0V or 9V L
38F4360LL 256L18+128L18+128SD (Split Bus) 85[25]b[14]c P RD 137 1.8V/1.8V 0.9–2.0V or 9V L
38F4470LL 256L18+256L18+256SD (Split Bus) 85[25]b[14]c P RD 137 1.8V/1.8V 0.9–2.0V or 9V L
38F3030L0 128L18+16P 85[25]b[14]c P RD 44 1.8V/1.8V 0.9–2.0V or 9V LF
38F2030L0 64L18+16P 85[25]b[14]c P RD 44 1.8V/1.8V 0.9–2.0V or 9V LF
INTEL STRATAFLASH®WIRELESS MEMORY SYSTEM (LV18/LV30 SCSP)
LVQ 18/30 Code and DataFlash, SRAM, PSRAM (QUAD+ ballout)
48F4040LV 256L18+256V18 85[25]b[14]c P RD 88 1.8V/1.8V 0.9–2.0V or 9V L, LF
48F4040LV 256L30+256V30 85[25]b[14]c P RD 88 1.8V/3.0V 0.9–2.0V or 9V L
LVX 18/30 Code and DataFlash, SRAM, SDRAM (Performance ballouts)
38F4460LV 256L18+256V18+128SD 85[25]b[14]c P RD 103 1.8V/1.8V 0.9–2.0V or 9V LF
48F4444LV 256L18+256L18+256V18+256V18 85[25]b[14]c P RD 103 1.8V/1.8V 0.9–2.0V or 9V L
58F0012LV 256L18+256L18+256V18+128SD 85[25]b[14]c P RD 103 1.8V/1.8V 0.9–2.0V or 9V L
Wireless Products Legend
Access Time Footnotes: Product Combinations Footnotes: Temperature Range Footnotes: Package Footnotes:
bPage Mode Access P= PSRAM E= Extended Temperature (-40°C to +85°C) GE = 0.75mm VF BGA
cSynchronous Burst Mode S= SRAM P= Expanded Temperature (-25°C to +85°C) RD = Intel®Stacked Chip Scale Package
D= SDRAM LZ = Intel®UT-Stacked Chip Scale Package
† Access time refers to data component.
SCSP ORDERING INFORMATION
Intel®Flash Memory for Wireless Applications developer.intel.com/design/flash
42
Expanded Temperature (-25°C to +85°C)
RD = SCSP
SCSP Product Line Designator
38F = Flash + Ram
48F = Flash Only
(See Table 1 for details)
Ballout Identifier
Q = Quad
(See Table 3 for details)
Custom
Flash Product Decoder
C = 3-Volt Intel® Advanced+ Boot Block Flash Memory
J = 3-Volt Intel StrataFlash® Memory
K = 3-Volt Synchronous Intel StrataFlash® Memory
L = 1.8-Volt Intel StrataFlash® Memory
W = 1.8-Volt Intel® Wireless Flash Memory
0 = No Die
(See Table 3 for details)
Voltage Options
Z = 3 Volt
Y = 1.8 Volt
M = Mixed Core Voltages
(See Table 3 for details)
Flash and Ram
Density Configuration
(See Table 2 for details)
Flash Boot Configuration
(See Table 4 for details)
RD 38F 2240 WW Y T Q Ø
Intel®Stacked-SCSP Table References
Density Decoder Table 1
CODE FLASH DENSITY RAM DENSITY
0 No Die No Die
1 32 Mbit 4 Mbit
2 64 Mbit 8 Mbit
3 128 Mbit 16 Mbit
4 256 Mbit 32 Mbit
5 512 Mbit 64 Mbit
6 1 Gbit 128 Mbit
7 2 Gbit 256 Mbit
8 4 Gbit 512 Mbit
9 8 Gbit 1 Gbit
A 16 Gbit 2 Gbit
Flash Family Decoder Table 2
CODE FLASH DENSITY CORE VOLTAGE
C Intel®Advanced+ 3.0 Volt
Boot Block Flash Memory
J Intel StrataFlash®Memory 3.0 Volt
K Intel StrataFlash®3.0 Volt
Synchronous Memory
L Intel StrataFlash®Wireless 1.8 Volt
Memory
LV Intel StrataFlash®Wireless 1.8 Volt
Memory System
W Intel®Wireless Flash 1.8 Volt
Memory
0 No Die Not Applicable
I/O Voltage Decoder Table 3
CODE FLASH I/O VOLTAGE
Z Flash Family A = 3.0 Volt I/O
Flash Family B = 3.0 Volt I/O
Y Flash Family A = 1.8 Volt I/O
Flash Family B = 1.8 Volt I/O
M Flash Family A = 3.0 Volt I/O
Flash Family B = 1.8 Volt I/O
L Flash Family A = 1.8 Volt I/O
Flash Family B = 3.0 Volt I/O
Parameter Configuration Decoder Table 4
DESIGNATOR PARAMETER (BOOT) EXPLANATION
CONFIGURATION
B Bottom For stacked products
comprising two or more Flash
die, the F1 die will be the
parameter configuration as
designated in the product’s
part number.
T Top
Note: Refer to the specific product datasheet for parameter onfiguration
details of the other flash die in the stack (i.e., F2, F3, and so forth).
D Dual For stacked products
comprising two Flash die,
the F1 and F2 Flash dies are
configured as Bottom–Top.
Note: Products using the “D” parameter designator are limited to
W18/W30 and K18/K30 SCSP envelope line items, and the following
individual parts: RD/PF38F4462LLYDB0, RD48F4400L0ZDQ0,
RD48F3300L0ZDQ0, RD48F4400L0YDQ0, PF48F4400L0YDN0,
PF48F4400L0ZDN0 and RD48F3300L0YDQ0.
Ballout Decoder Table 5
CODE BALLOUT DEFINITION
B x16D Performance with DRAM
L “I” ballout
P Performance x32 ballout
Q QUAD/+ ballout
S Sync ballout, original 96-ball version
H x16 Split Bus
X Custom ballout; use in conjunction with
last character
58Fxxxx Product Density Decoder Table 6
SEQUENCE FLASH+RAM DIES EXPLANATION
CODE NO.
0012 256L (Bottom)/256L Bottom parameter
(Bottom)/256V configuration
(Top)/128D
0012 256L (Top)/256L Top parameter
(Top)/256V configuration
(Bottom)/128D
.
.
.
9999 TBD TBD
Notes: Flash and RAM die densities are referenced in Mbit, unless
noted otherwise. L = Flash Code Segment; V = Flash Data Segment;
D = DRAM; P = PSRAM; S = SRAM
developer.intel.com/design/flash Intel®Flash Memory for Wireless Applications
43
Intel®Flash Memory for Wireless Applications developer.intel.com/design/flash
44
1.8 VOLT INTEL STRATAFLASH®WIRELESS MEMORY (L18/L30)—
OUR FOURTH-GENERATION INTEL STRATAFLASH®MEMORY
1.8 Volt Intel StrataFlash®Wireless Memory (L18/L30) delivers the combination of wireless performance and Intel
StrataFlash memory value that today’s wireless handset developers require. L18/L30 is the world’s first 1.8V Multi-Level
Cell (MLC) device offering wireless designers memory features needed for today’s rich wireless applications—high-
performance, high-density, and low-power operation. Delivered on Intel’s fourth-generation of MLC technology and 0.13 µm
process lithography, the L18/L30 enables highly reliable, high-performance, yet cost-effective wireless solutions. L18/L30
gives wireless products the capacity to do more while consuming less power in the process.
Key Messages
1.8 Volt Intel StrataFlash Wireless Memory is the world’s first low-power, high-density, multi-level cell flash memory
based on 0.13-micron process technology
1.8 Volt Intel StrataFlash Wireless Memory is a single chip solution offering high density and performance that today’s
wireless industry requires for increased data and new graphic-rich applications on today’s wireless handhelds
1.8 Volt Intel StrataFlash Wireless Memory utilizes Intel’s industry-leading stacking technology to obtain densities
of up to 1Gb resulting in the industry’s lowest-power 1Gb code and data memory solution offered in a single package
Key Benefits
First 1.8 Volt MLC device on 0.13 µm process lithography
Intel’s forth-generation MLC architecture offers highly reliable operation
64-Mb, 128-Mb, and 256-Mb high-density devices
Flexible 8 Mb and partitioning allows optimized memory usage
Dual-mode RWW/E operation for high data throughput
Burst and page mode support for 54 MHz bus speed allows fast code execution
1.8 Volt Intel StrataFlash Wireless Memory is Levels Above and Generations Ahead
1.8 VOLT INTEL®WIRELESS FLASH MEMORY (W18/W30)—
OUR HIGHEST PERFORMANCE FLASH DEVICE
Intel®1.8 Volt Wireless Flash memory (W18/W30) is the highest performance memory solution for wireless applications
and embedded designs requiring performance or low power.. W18/W30 integrates flexible partition RWW/E architecture
with synchronous burst and asynchronous page mode read operations, state-of-the-art security-enabling features and low
1.8V operation. Additionally, the W18/W30 is fully supported by the Intel®Flash Data Integrator (Intel®FDI) software, which
enables effective management of code, data, and files in a flash memory device. Intel 1.8 Volt Wireless Flash is Intel’s next-
generation RWW/E device offering innovative features and revolutionary performance.
Key Messages
W18/W30 combines four major innovations into one product:
Flexible partition Read-While-Write/Erase (RWW/E) operation
Synchronous burst and asynchronous page mode read operations
1.8V operations (3.0V I/O option available)
Enhanced Factory Programming (EFP)
These features make this the ideal RWW/E flash memory solution for next-generation “voice plus data” cellular and
wireless applications.
developer.intel.com/design/flash Intel®Flash Memory for Wireless Applications
45
COMPONENTS AT A GLANCE: DESIGN-IN PRODUCTS
DENSITY PRODUCT ORGANIZATION ACCESS TIME (ns) TEMP RANGE PACKAGE BALL COUNT VCC VPP/VPEN I/O
WIRELESS MEMORY PRODUCTS—LEADING-EDGE FEATURES FOR WIRELESS CODE EXECUTION AND DATA STORAGE APPLICATIONS
Intel StrataFlash®Wireless Memory (L18/L30)
256 Mb 28F256L18a 16M x 16 85[25]b[14]c, 115[30]b[18]c P GE 63 1.7–2.0V 0.9–2.0V or 9V 1.7–2.0V
28F256L30a 16M x 16 85[25]b[17]c, 115[30]b[25]c P GE 63 1.7–2.0V 0.9–2.0V or 9V 2.2–3.3V
128 Mb 28F128L18a 8M x 16 85[25]b[14]c, 105[25]b[17]c P GE 56 1.7–2.0V 0.9–2.0V or 9V 1.7–2.0V
28F128L30a 8M x 16 85[25]b[17]c, 110[25]b[20]c P GE 56 1.7–2.0V 0.9–2.0V or 9V 2.2–3.3V
64 Mb 28F640L18a 4M x 16 85[25]b[14]c, 105[25]b[17]c P GE 56 1.7–2.0V 0.9–2.0V or 9V 1.7–2.0V
28F640L30a 4M x 16 85[25]b[17]c, 110[25]b[20]c P GE 56 1.7–2.0V 0.9–2.0V or 9V 2.2–3.3V
Intel®Wireless Flash Memory (W18/W30)
128 Mb 28F128W18 8M x 16 65[20]b[11]c, 80[25]b[14]c E GE 56 1.7–1.95V 0.9–1.95V or 12V 1.7–2.24V
28F128W30 8M x 16 70[25]b[20]c, 85[25]b[22]c E GE 56 1.7–1.95V 0.9–1.95V or 12V 2.2–3.3V
64 Mb 28F640W18 4M x 16 60[20]b[11]c, 80[25]b[14]c E GE 56 1.7–1.95V 0.9–1.95V or 12V 1.7–2.24V
28F640W30 4M x 16 70[25]b[20]c, 85[25]b[22]c E GE 56 1.7–1.95V 0.9–1.95V or 12V 2.2–3.3V
32 Mb 28F320W18 2M x 16 60[20]b[11]c, 80[25]b[18]c E GE 56 1.7–1.95V 0.9–1.95V or 12V 1.7–2.24V
28F320W30 2M x 16 70[25]b[20]c, 85[30]b[20]c E GE 56 1.7–1.95V 0.9–1.95V or 12V 2.2–3.3V
Wireless Products Legend
Access Time Footnotes: Product Combinations Footnotes: Temperature Range Footnotes: Package Footnotes:
bPage Mode Access P= PSRAM E= Extended Temperature (-40°C to +85°C) GE = 0.75mm VF BGA
cSynchronous Burst Mode S= SRAM P= Expanded Temperature (-25°C to +85°C) RD = Intel®Stacked Chip Scale Package
LZ = Intel®UT-Stacked Chip Scale Package
ORDERING INFORMATION
T 28F 640 W 18GC70
Density/Organization
00X = x8
X00 = x16
Product Line Designator
for all Discrete Intel®
Flash products
Product Identifier
B = Advanced Boot Block
C = Advanced+ Boot Block
J = Intel StrataFlash® memory
K = Synchronous Intel StrataFlash®
memory
L = Intel StrataFlash® Wireless memory
S = FlashFile memory
W = Wireless
Access Speed (ns)
Process Identifier
A = 0.25 µm
C = 0.18 µm
D = 0.13 µm
Boot Position for
Boot Block products only
T = Top Boot
B = Bottom Boot
Voltage Options (VCC)
18 = 1.7–1.95V
3 = 2.7–3.6V
30 = 1.7–1.95V; 2.7–3.6 VCCQ
5 = 4.5–5.5V
Commercial Temperature: (0°C to +70°C)
DA
E
=
=
SSOP
TSOP
=
=
PA
RC
PSOP
Easy BGA
=
=
=
SSOP
0.75mm VF BGA
0.75mm µBGA*
=
=
=
RC
TB
TE
Easy BGA
PSOP
TSOP
Extended Temperature: (–40°C to +85°C)
DT
GE
GT
Intel®Flash Memory for Wireless Applications developer.intel.com/design/flash
46
INTEL®FLASH MEMORY SOFTWARE—FLASH FILE MANAGEMENT FOR YOUR
WIRELESS MEMORY SUBSYSTEM
Intel offers a comprehensive line of software solutions, each designed to meet specific system application needs. Intel offers
solutions for dynamic XIP code management, data storage, and code+data combined, which make the details of interfacing
to flash memory flexible and easy for the system software.
Intel®Flash Data Integrator (Intel®FDI)—Wireless Advantage
Intel Flash Data Integrator (Intel FDI) is the leading wireless real-time code+data solution that delivers a true XIP advantage
to get the most out of Intel Flash memory. Intel FDI manages code, data, and files in flash memory within an open
architecture, including support for downloaded Java* applets, Bluetooth* file transfers, and voice recognition tags. The Intel
FDI architecture allows easy integration into most real-time operating systems.
Software Product Highlights:
Combined with Intel StrataFlash memory, creates a low-cost and compelling package for cellular handset designs
Built-in support for both software-based and hardware-based read-while-write for data-centric real-time systems
Simple data storage Application Program Interface (API)
File Manager provides data storage in the form of ANSI-style files, to simplify software design
Code Manager provides storage and direct execution (XIP) of Java applets and native code
Tested, debugged, documented, and easily ported C-source code reduces the time-to-market for OEMs
Code size is only 60 to 200 Kbytes (depending on feature set)
E28F320J5
120
U91600597
M© 1997
Continuation of Part Number
Part Number
Logo
Copyright
Finish Process Order (FPO)
TSOP
DT28F800
F3B95
Q487 ES
M© 1998
Continuation of Part Number
Part Number
Spec Number
Logo
Copyright
Engineering Sample
SSOP
9U1600597
Plant Code
Last Digit Year
Work Week
FPO Number Information — TSOP/SSOP
Serial Number
Assembly Site Code
Change Indicator (optional)
Finish Process Order (FPO)
Part Number
Logo
Copyright
Assembly Mark
Easy BGA
F128J3A
A028123S
120304
M© ’99
FPO Number Information — Easy BGA
Finish Process Order (FPO)
Part Number
Logo
Copyright
Assembly Mark
VF BGA
F320C3BC
A1030510
3301ATBA
M© ’99
1A030510
Plant Code
Last Digit Year
Work Week
FPO Number Information — VF BGA
Serial Number
Manufacturing Code
Assembly Site Code
Part Number
Logo
Copyright
Assembly Mark
µBGA*
F128W30T
120304
M© ’99
210304
Last Digit Year
Assembly Site Code
Work Week
Serial Number
Assembly Mark
Information — µBGA*
0A28123S
Plant Code
Last Digit Year
Work Week
Serial Number
Manufacturing Code
Assembly Site Code
developer.intel.com/design/flash Intel®Flash Memory for Wireless Applications
47
FEATURES BENEFITS
File Manager ANSI-style file storage
Meta-data support for file name, size, date/time stamp, owner,
and permissions
Multiple open items for high-performance access
Enables easier coordination between software development teams
by providing an alternative to numeric data identifiers
Code Manager Store, update, and delete code segments
XIP of code for high performance
Enables downloaded software such as Java applets
Read-While-Write Takes advantage of hardware-based RWW on dual- and multi-partition
flash memory devices
Software-based RWW enables real-time data management on single-
partition flash memory
Robust power-loss recovery and wear-leveling Recovers most current data when power is lost during writes
Ensures better cycling endurance for flash memory
Royalty-free license when using Intel®Flash devices No incremental product costs
Comprehensive support for Intel®Flash memory features Compatible with the newest flash memory technology
Intel®Persistent Storage Manager (Intel®PSM)—The Ideal Windows* CE Solution
Intel®Persistent Storage Manager (Intel®PSM) is the reliable executable storage solution that offers code+data+registry
backup in one Intel Flash memory for enterprise Windows* CE systems. Intel PSM software works in conjunction with
the file system in the Microsoft Windows CE (PocketPC and SmartPhone) OS to provide simultaneous access to separate
code and file partitions in the same chip. Unlike other data-only storage solutions, the code can be direct executed (XIP),
demand-paged, or memory-mapped.
Software Product Highlights:
Enables one of the most efficient memory system architectures in the marketplace for Windows CE
Combines key memory functions into a single flash memory or bank
Code Execution manages simultaneous read and write/erase operations
Data Storage provides Microsoft Windows CE OS-compliant installable file system with power loss protection
and wear-leveling
Registry Backup and Restore enables pre-OS registry restore functions
Maximizes benefits of Intel StrataFlash memory
Cost-effective storage
Reliable system backup
Less board space, lower component count
Easily integrated installable file system and device driver
Pre-OS library file plus file system DLL
Fully compliant with Microsoft Windows CE .NET operating system, PocketPC, and SmartPhone
FEATURES BENEFITS
Executable code and data storage in the same chip Efficient use of all memory space in the design
Greater design flexibility
Reduces power consumption, component count, and required board space
Maximizes value of flash memory
File system with robust power-off recovery Media will not corrupt if power is lost during a file modification
Allows Intel StrataFlash®memory to be used as file storage
Extends effective erase cycle endurance
Pre-OS registry recovery and save More reliable and efficient recovery from registry corruption events
Intel®Flash Memory for Wireless Applications developer.intel.com/design/flash
48
Intel®Virtual Small Block File Manager (Intel®VFM)—Easy to Integrate
Intel®Virtual Small Block File Manager (Intel®VFM) is the proven, flexible, easy-to-integrate flash file manager for
embedded systems. Intel VFM is Intel’s Flash software reference code which provides for disk-like sector and file access
to Intel Flash. In addition to data storage capability, VFM also accommodates handling code in the same component.
Software Product Highlights
Broadbase solution for embedded flash components
Intel-developed code creates virtual small-block (VSB) sectors in the larger flash erase blocks
File system sector calls are translated to Intel Flash standard commands
VSB Flash Media Manager handles flash read/erase/write, wear-leveling, and sector management
Intel VFM can work as the file manager or with an existing one
Reserved Blocks allows for integration of code, or other capabilities, with the data storage features of Intel VFM
Virtual Component support allows for optimal flash array utilization
Small code size (14–20KB of code and 2–8KB RAM)
High-performance edit capability
Robust power-off recovery and media cleanup
FEATURES BENEFITS
C source code Easy porting to a wide variety of applications
Enhancements or changes can be handled by the OEM
CFI enabled flash routines Supports a wide range of current and future Intel®Flash components
Complete documentation Porting Guide, User’s Guide, Overview, etc., provides quick access to
information to understand the code
Reserved flash region Facilitates code handling in same component with data
Royalty-free and derivative right license Lower cost
Own your own solution
Intel®Flash Memory Software
SUPPORTED COMPONENTS S/W SOLUTIONS
Intel®FDI Intel®VFM Intel®PSM
Wireless
L18/L30 ■❑■
LV18/LV30 ■❑■
W18/W30 ■❑
Mainstream
J3 ■■■
K3, K18 ■■■
Basic
C3, B3 ■❑
Fully supported and validated
Code modifications required
If you wish to get Intel®Flash Software, please order a physical copy of the software product’s user’s guide. Instructions on
how to download the software from our Web site will be shipped with the manuals.
Intel®Flash Memory Documentation
For a complete list and to download available literature, visit our flash memory Web site at:
http://developer.intel.com/design/flash
developer.intel.com/design/flash Intel®Flash Memory for Embedded Applications
49
Embedded applications increasingly require rugged, versatile memory solutions. Designers need to accommodate features
and functionality, while protecting the end-user’s hardware investment. As the world’s leading supplier of flash memory,
Intel’s combination of supply availability, innovative product offerings, high-quality standards, and superior customer support
result in embedded memory subsystem solutions unrivaled in the industry. When it comes to embedded memory solutions,
reliable high-density, low-cost flash memory from Intel is the answer.
3 VOLT INTEL STRATAFLASH®MEMORY (J3 FAMILY)—
SECOND-GENERATION INTEL STRATAFLASH®MEMORY ARCHITECTURE
Intel StrataFlash®memory components utilize reliable and proven two-bit-per-cell technology to deliver 2x the memory
in 1x the space, offering high-density flash at low cost. Intel StrataFlash memory offers exceptional value and reliability
with over four generations of products and 100 million units shipped. Intel StrataFlash Memory (J3) is the best value for
mainstream applications requiring both performance and large space for code and data storage. Available in densities up to
256 Mbit (32 Mbyte), J3 is the highest density NOR-based flash component available in high-volume manufacturing today.
On Intel’s 0.18-micron technology, J3 sets a new benchmark for fast read speeds by adding both a four and eight word page
mode feature. Page mode reads are up to three times faster than asynchronous reads on standard flash memory devices. J3
also offers a high-performance glueless interface to a myriad of processors for various applications (see CPU to Flash
Solution Matrix at http://developer.intel.com for details).
This success of Intel’s StrataFlash memory over the past six years has resulted in other flash memory manufacturers
producing compatible parts to Intel’s J3 product family.
FEATURES BENEFITS
Intel®MLC Technology Over six years of leading-edge reliability, performance, and value
32-, 64-, 128-, 256-Mb densities Lowest cost-per-bit NOR devices
56-lead TSOP package Over 100 million TSOP packages shipped
64-ball Easy BGA package Long-term size and footprint capability; 50 percent smaller than
56-lead TSOP
Common Flash Interface (CFI) Compatibility with future products today
One-Time-Programmable protection registers 64 bits programmed at the factory with a unique ID, and 64 bits can
be programmed by the OEM; traceability, license control, and system
authentication
Page Mode Interface Page access mode improves read performance up to 3x
Complete selection of Intel®Flash Management Software Dramatically reduces the time-to-market for OEMs and is easily ported
to OEM's environment.
Intel
®
Flash Memory
for Embedded Applications
INTEL®ADVANCED+ BOOT BLOCK FLASH MEMORY (C3/B3 FAMILY)
The Intel®3 Volt Advanced+ (C3) and Advanced Boot Block (B3) Flash memory family is the world’s first flash memory
on the 0.13 µm process lithography—Intel’s fifth generation of its successful boot block memory products. Intel’s C3 and
B3 products are the industry’s most widely used flash memory. Designed for a diverse range of applications, the Advanced+
and Advanced Boot Block devices are available in 16- to 64-Mbit densities, with access times up to 70 ns. Packaged offerings
include 48-lead TSOP, 48-ball VF BGA, 64-ball Easy BGA, and 8 x 9 matrix Stacked Chip Scale Package. By using the
same package configuration, designers can easily migrate from one density to another across five generations of boot block
products.
FEATURES BENEFITS
Intel’s 0.13 µm process lithography Ideal solution for next-generation applications
High performance 70 ns access times @ 2.7–3.6V Vcc operation
Full Extended Operating Temperature: -40 °C to +85 °C
12V Vpp fast programming option improves throughput time for lower
manufacturing costs
Full range of easy-to-upgrade, pin-compatible densities 16 Mb, 32-Mb and 64-Mb densities for diverse application needs
TSOP, VF BGA, Easy BGA and Stacked Chip Scale Packaging Wireless and broad market package offerings
Industry-standard JEDEC packaging
Proven package reliability
VF BGA and Stacked-CSP flash for space-constrained applications
Consistent package footprint across densities makes migrations easier
Instant individual block locking (C3 only) Ensures immediate data protection for individual blocks
128-bit fraud protection register (C3 only) Unique ID and OTP enables fraud protection capabilities
3 VOLT SYNCHRONOUS INTEL STRATAFLASH®MEMORY (K3/K18 FAMILY)
The Synchronous Intel StrataFlash memory product provides a high-performance burst-mode interface and other
additional features to the Intel StrataFlash memory family of products. Looking forward, the K3/K18 family of products
is expected to only be supported through 2007. For designs requiring support beyond the K3/K18 life cycle, there are
currently two choices. For designs that require the performance of a Synchronous interface, focus on the W18/30 products.
Information on these products can be found in the “Intel®Flash Memory for Wireless Applications” chapter. For designs
requiring higher densities up to 256Mb, refer to the J3 family of products earlier in this chapter.
Intel®Flash Memory for Embedded Applications developer.intel.com/design/flash
50
developer.intel.com/design/flash Intel®Flash Memory for Embedded Applications
51
FEATURES BENEFITS
Intel®MLC Technology Over five years of proven reliability, performance, and value
256-Mb, 128-Mb, 64-Mb densities Industry leading, highest density NOR flash
Page and Burst Mode Interfaces Page and burst modes improve read performance up to 5x
Complete selection of Intel®Flash management software Dramatically reduces the time-to-market for OEMs and is easily ported
to OEMs’ environment
Buffered Enhanced Factory Programming (BEFP) BEFP speeds up MLC flash programming up to 80 percent for today’s
beat-rate sensitive manufacturing environments
One-Time-Programmable protection registers 64 bits programmed at the factory with a unique ID, and 2K bits can be
programmed by the OEM; traceability, license control, and system
authentication
Common Flash Interface (CFI) Compatibility with future products today
64-ball Easy BGA package Long-term size and footprint capability; 50 percent smaller than 56-lead
TSOP
VF BGA package and Intel®Stacked-CSP Small packaging for wireless applications
INTEL®FLASH MEMORY DEVELOPMENT TOOLS
Intel®Flash memory tools reduce product development time and save money by getting your product to the market faster.
Intel and third-party vendors worldwide provide a wide range of tools for all stages of the product development cycle.
Information and vendor URL links pertaining to these tools are located on the World Wide Web at the Intel®Flash Memory
Tools and Software site and in the Electronic Tools Catalog. The Electronic Tools Catalog contains thousands of tools from
more than 200 companies. These tools provide solutions for the definition, design, prototype, and production stages of the
product development cycle.
Examples of Intel Flash memory tools range from pre-silicon software models, PCB layout files, Intel®software,
programming products, information and services, test accessories, PCB design guidelines, SMT manufacturing tips, and
rework equipment. Many other items are available on Intel’s Web site, including Chip Scale Package (CSP) information,
product information, application notes, datasheets, and online ordering of hard-copy manuals, such as the Intel®Flash
Memory Tools Guide.
TOOL HIGHLIGHTS
Definition and Design Tools Prototype and Production Tools
• IBIS, VHDL, and Verilog software models • PCB contract manufacturers
• PCB flexible layout and escape routing gerber files • Programming Tools Web page,
• Schematic symbol files (developer.intel.com/design/flash/swtools/tools.htm), onboard
• Media/file managers, templates, and utility software (JTAG/ATE), off-board, application notes, etc.
• Intel®Flash memory SOFTWAREBuilder • Programmers: engineering, concurrent, gang, and automated
• Intel®Flash memory packaging technology • Programming, prototype, and production sockets and adapters
• Programming and shipping media services
• Tape and reel equipment and services
• SIMM/DIMM manufacturers
• Test accessories
• Rework equipment
Intel®Flash Memory for Embedded Applications developer.intel.com/design/flash
52
FEATURES BENEFITS
Programming tools, sockets and support Variety of programming solutions that improve your flash memory
programming process by decreasing development times, lowering costs,
and improving production capabilities.
Intel also works proactively with many programming companies and socket
manufacturers to ensure reliable programming hardware and software
support is available for Intel®Flash memory products.
Pre-silicon software models (IBIS, VHDL, and Verilog) Assists the designer in evaluating design functionality and/or electrical
characteristics of Intel Flash memory components through software
simulation.
PCB flexible layout and escape routing files Software-based files that allow for multiple Intel Flash memory packages
to be designed into a single PCB land pad area or escape routing designs
for Intel Flash memory CSPs. Files can be downloaded directly for PCB
design.
Media/file managers, templates, and utility software Software-based files that provide standard schematic symbols, software
management functions, low-level flash access routines to help simplify
your product development.
Test accessories Test accessories include a variety of test debug tools usually used in the
prototype and production stage of product development. These tools range
from vacuum wands and handling procedures to test clip and access
fixtures for all Intel Flash Memory packages.
Rework equipment Reviews rework systems that encompass multiple process steps such as
component removal, site redress, solder paste application, split-vision part
placement, and reflow oven on the same system.
developer.intel.com/design/flash Intel®Flash Memory for Embedded Applications
53
COMPONENTS AT A GLANCE: DESIGN-IN PRODUCTS
DENSITY PRODUCT ORGANIZATION ACCESS TIME (ns) TEMP RANGE PACKAGE NUMBER PINS VCC VPP/VPEN I/O
MAINSTREAM MEMORY PRODUCTS—COST-EFFECTIVE FLASH MEMORY FOR MAINSTREAM CODE EXECUTION AND DATA STORAGE APPLICATIONS
Intel StrataFlash®Memory (J3)
256 Mb 28F256J3 32M x 8 or 16M x 16 125[30]b E TE/RC 56/64 2.7–3.6V 3V 2.7–3.6V
128 Mb 28F128J3 16M x 8 or 8M x 16 120[25]b E TE/RC 56/64 2.7–3.6V 3V 2.7–3.6V
64 Mb 28F640J3 8M x 8 or 4M x 16 115[25]b E GE/TE/RC 48/56/64 2.7–3.6V 3V 2.7–3.6V
32 Mb 28F320J3 4M x 8 or 2M x 16 110[25]b E GE/TE/RC 48/56/64 2.7–3.6V 3V 2.7–3.6V
Synchronous Intel StrataFlash®Memory (K3/K18)
256 Mb 28F256K3 16M x 16 120[25]b[13]c E GE/RC 63/64 2.7–3.6V 3V 2.375–3.6V
28F256K18 16M x 16 120[30]b[15]c E GE/RC 63/64 2.7–3.6V 3V 1.65–1.95V
128 Mb 28F128K3 8M x 16 115[25]b[13]c E GE/RC 56/64 2.7–3.6V 3V 2.375–3.6V
28F128K18 8M x 16 115[30]b[15]c E GE/RC 56/64 2.7–3.6V 3V 1.65–1.95V
64 Mb 28F640K3 4M x 16 110[25]b[13]c E GE/RC 56/64 2.7–3.6V 3V 2.375–3.6V
28F640K18 4M x 16 110[30]b[15]c E GE/RC 56/64 2.7–3.6V 3V 1.65–1.95V
BASIC MEMORY PRODUCTS—DEPENDABLE AND MOST WIDELY USED FLASH MEMORY
Intel®Boot Block Flash Memory (C3, B3)
64 Mb 28F640C3 4M x 16 80 E TE, GE/RC 48/64 2.7–3.6V 1.65–3.6V or 12V 1.65–2.5V or 2.7–3.6V
32 Mb 28F320C3 2M x 16 70, 90 E TE, GE/RC 48/64 2.7–3.6V 1.65–3.6V or 12V 1.65–2.5V or 2.7–3.6V
28F320B3 2M x 16 70, 90 E TE, GE 48 2.7–3.6V 2.7–3.6V or 12V 1.65–2.5V or 2.7–3.6V
16 Mb 28F160C3 1M x 16 70, 90 E TE, GE/RC 48/64 2.7–3.6V 1.65–3.6V or 12V 1.65–2.5V or 2.7–3.6V
28F160B3 1M x 16 70, 90 E TE, GE 48 2.7–3.6V 2.7–3.6V or 12V 1.65–2.5V or 2.7–3.6V
* Speed @ 3.0-3.6V. 3 Volt Boot Block parts are marked with 2.7–3.6V Vcc speeds.
Embedded Products Legend
Access Time Footnotes: Temperature Range Footnotes: Package Footnotes:
b Page Mode Access C= Commercial Temperature (0°C to +70°C) Commercial Temperature: (0˚C to +70˚C)
c Synchronous Burst Mode E= Extended Temperature (-40°C to +85°C) DA = SSOP PA = PSOP
P= Expanded Temperature (-25°C to +85°C) E= TSOP RC = Easy BGA
Extended Temperature: (-40˚C to +85˚C)
DT = SSOP TB = PSOP
GE = 0.75mm VF BGA TE = TSOP
RC = Easy BGA
† Temperature range on Intel®Stacked Chip Scale Package/CSP (-25°C to +85°C)
Intel®Flash Memory for Embedded Applications developer.intel.com/design/flash
54
ORDERING INFORMATION
Intel®Flash Memory Documentation
For a complete list and to download available literature, visit our flash memory Web site at:
http://developer.intel.com/design/flash
T 28F 640 W 18GC70
Density/Organization
00X = x8
X00 = x16
Product Line Designator
for all Discrete Intel®
Flash products
Product Identifier
B = Advanced Boot Block
C = Advanced+ Boot Block
J = Intel StrataFlash® memory
K = Synchronous Intel StrataFlash®
memory
L = Intel StrataFlash® Wireless memory
S = FlashFile memory
W = Wireless
Access Speed (ns)
Process Identifier
A = 0.25 µm
C = 0.18 µm
D = 0.13 µm
Boot Position for
Boot Block products only
T = Top Boot
B = Bottom Boot
Voltage Options (VCC)
18 = 1.7–1.95V
3 = 2.7–3.6V
30 = 1.7–1.95V; 2.7–3.6 VCCQ
5 = 4.5–5.5V
Commercial Temperature: (0°C to +70°C)
DA
E
=
=
SSOP
TSOP
=
=
PA
RC
PSOP
Easy BGA
=
=
=
SSOP
0.75mm VF BGA
0.75mm µBGA*
=
=
=
RC
TB
TE
Easy BGA
PSOP
TSOP
Extended Temperature: (–40°C to +85°C)
DT
GE
GT
E28F320J5
120
U91600597
M© 1997
Continuation of Part Number
Part Number
Logo
Copyright
Finish Process Order (FPO)
TSOP
DT28F800
F3B95
Q487 ES
M© 1998
Continuation of Part Number
Part Number
Spec Number
Logo
Copyright
Engineering Sample
SSOP
9U1600597
Plant Code
Last Digit Year
Work Week
FPO Number Information — TSOP/SSOP
Serial Number
Assembly Site Code
Change Indicator (optional)
Finish Process Order (FPO)
Part Number
Logo
Copyright
Assembly Mark
Easy BGA
F128J3A
A028123S
120304
M© ’99
FPO Number Information — Easy BGA
Finish Process Order (FPO)
Part Number
Logo
Copyright
Assembly Mark
VF BGA
F320C3BC
A1030510
3301ATBA
M© ’99
1A030510
Plant Code
Last Digit Year
Work Week
FPO Number Information — VF BGA
Serial Number
Manufacturing Code
Assembly Site Code
Part Number
Logo
Copyright
Assembly Mark
µBGA*
F128W30T
120304
M© ’99
210304
Last Digit Year
Assembly Site Code
Work Week
Serial Number
Assembly Mark
Information — µBGA*
0A28123S
Plant Code
Last Digit Year
Work Week
Serial Number
Manufacturing Code
Assembly Site Code
developer.intel.com/design/storage I/O Products
55
INTEL’S I/O PROCESSORS (IOP)
Intel’s I/O processor family offers 32-bit microprocessor products that are designed to enable high-performance I/O
solutions. These I/O processors have been designed from the ground up optimizing and balancing dataflow in order to
deliver the highest I/O throughput possible. Intel’s I/O processors range from high-frequency discrete products to fully
integrated devices offering all of the required components of a complete I/O solution such as a PCI-X interface or bridge,
memory controller, high-performance core, and an XOR engine (for RAID 5 parity generation).
Intel’s I/O processor family is specifically designed to increase system-level performance by off-loading many of the
I/O tasks traditionally handled by the host processor. From a system-level standpoint, an I/O processor can reduce the
utilization of the host CPU by servicing I/O requests and interrupts without host intervention, resulting in a significant
increase in overall performance of servers. Intel’s I/O processors provide high I/O performance and better system balance,
improving scalability and performance. Applications that can best utilize these features include networked storage
applications, servers, SAN, NAS, RAID adapter cards, LAN adapter cards, computer telephony devices, networking devices
and other I/O-intensive systems. Intel’s I/O processors have also found usage in VoIP, iSCSI, Video processing, set-top boxes,
control plane processing, medical and others.
Intel®IOP331 I/O Processor with Intel XScale®Microarchitecture
The Intel®IOP331 is a highly integrated I/O system on a chip for I/O-intensive storage, networking, communications, and
embedded applications. The IOP331 features an 800 MHz CPU, high-performance internal bus, dual-ported memory
controller, a high-bandwidth PCI-X to PCI-X Bridge, and an improved interrupt controller to provide a high-performance,
highly integrated processor solution. Target applications include PCI/PCI-X host-based adapters (RAID cards, iSCSI cards,
FC cards, Security/SSL NICs, etc.), control plane and system controller applications utilizing PCI/PCI-X as a system
interconnect and/or backplane Virtual Private Network devices, video servers, Network gateways, Network Attached Storage,
External Storage Arrays), PCI/PCI-X-based line cards (VoIP, Routers, etc.), and a host of other applications that require a
highly integrated, high-performance system on a chip processor.
As Intel’s sixth-generation I/O processor, the IOP331 continues to build on Intel’s strength in delivering high-performance,
low-power Intel XScale®technology processors. The IOP331 is code compatible with the Intel®IOP321 I/O Processor, other
Intel XScale core processors, and ARM*-based devices, simplifying code porting from existing designs. The IOP331
provides ultra-fast memory transactions due to its Double Data Rate (DDR) SDRAM dual-ported memory controller that
supports up to 2 GB of DDR 333 MHz memory or 1 GB of DDRII 400 MHz memory. The memory controller supports
32-bit or 64-bit memory subsystems with or without ECC. The IOP331 features a new dual-ported memory controller that
provides both a direct port from the CPU to memory (core port) and a port from the ATU/internal bus to memory (internal
bus port).
The Intel IOP331 also has made significant improvements to the interrupt controller in order to reduce interrupt latency.
The interrupt controller includes an advanced vector generator for both FIQ and IRQ interrupts, delivering the vector directly
to the interrupt service routine, saving software overhead. Also included is an interrupt prioritizer that uses a two-bit field for
each interrupt source to provide four levels of interrupt priority.
Intel
®
I/O Processors
I/O Products developer.intel.com/design/storage
56
FEATURES BENEFITS
500/667/800 MHz Intel XScale®core High performance with low power
Integrated, System on a chip design
Dual PCI/PCI-X Interfaces PCI-X to PCI-X Bridge integration lowers BOM cost and helps reduce
Integrated PCI-X Bridge board space
Up to 133 MHz operation
2 DMA units with chaining support
Support to configure the device from remote PCI host
Optimized Memory Controller Intel XScale core has direct memory access resulting in much improved
DDR 333 and DDRII 400 with ECC performance
64-, 72-bit memory, and 32-bit mode also supported
Communications Integration helps reduce board space and lower BOM cost
I2C*
UARTs
16-bit local bus with two chip selects
8 GPIO pins
Application Accelerator Units in DMA Application-specific integration in hardware improves RAID5 and iSCSI
RAID5 XOR performance and helps reduce CPU overhead
iSCSI CRC32C
Intel XScale®80219 General-Purpose PCI Processor
The Intel XScale®80219 general-purpose PCI processor is a single-chip solution that opens the door to cost sensitive,
high-performance embedded application use. The 64-bit PCI-X interface is one of several enticing features. The 80219
processor’s 64-bit PCI-X interface is fully backward compatible to PCI 2.2 standards enabling easy interface to cheaper PCI
components today, and the PCI-X 1.0a compatibility makes it simple to upgrade to PCI-X in the future. The 133 MHz 64-bit
PCI-X interface achieves up to 1 GB per second throughput. The internal bus operates at 200 MHz delivering internal
bandwidth of up to 1.6 GB/second. The 80219 also features a 200 MHz DDR SDRAM controller with ECC that supports up
to 1 GB of 64-bit DDR SDRAM. The 32-bit local bus is programmable at 33, 66 and 100 MHz making it simpler to develop
embedded applications requiring a connection to non-PCI peripheral components such as ASICs, Flash memory, or DSPs.
The 80219 is a highly integrated chip with the high performance and bandwidth necessary to serve a wide range of
embedded applications such as industrial controllers, low-end networking, set-top boxes, compact PCI, digital surveillance
security, vision inspection equipment, personal storage appliances, control plane processing, Voice over Internet Protocol
(VoIP) blades and devices, print imaging devices and a host of other applications requiring high performance and low power
in a tightly integrated environment.
The 80219 general-purpose PCI processor introduces a powerful combination of integrated features. The 133 MHz PCI-X
interface achieves up to 1 Gbyte per second throughput, a two-fold increase over 66 MHz PCI. The internal bus operates at
200 MHz and offers internal bandwidth of up to 1.6 Gbytes/second. The 80219 also features a 200 MHz DDR SDRAM
controller with ECC that supports up to 1 Gbyte of 64-bit DDR SDRAM. It also supports 32-bit memory for applications that
are more space and cost sensitive. It contains a programmable (33, 66, 100 MHz), 32-bit local bus that is excellent for
embedded applications requiring connections to non-PCI peripheral components such as ASICs, flash memory, or DSPs.
developer.intel.com/design/storage I/O Products
57
FEATURES BENEFITS
400, 600 MHz Intel XScale®Core High-performance with low power
Integrated, System-On-A-Chip Design Smaller packaging for board space cost savings
64-bit PCI 2.2 Interface Industry Standard I/O Bus allowing flexible inexpensive interconnect
133 MHz PCI-X 1.0a Interface Easy upgrade to higher speed I/O interconnect
200 MHz DDR SDRAM Bus Higher memory performance for higher system performance
Up to 1GB memory support Supports large memory subsystems when necessary
1.6 GB/s Internal Bus Increases overall design performance
32-bit Local Bus Excellent for embedded applications requiring non-PCI peripherals
such as ASICs, DSPs, or flash
8 GPIOs
4 SDRAM Output Clocks Reduces chip count Saves board space
2 Programmable Timers
Intel®IOP315 I/O Processor Chipset with Intel XScale®Technology
The Intel®IOP315 chipset—Intel®80200 processor and Intel®80314 companion chip—dramatically improves upon the
performance of the first-generation I/O processor chipset (Intel®IOP310).
The IOP315 2-chip combo touts a 60 percent performance increase over the previous pair. The performance is scalable
with either single or dual core options. Thanks to the Intel XScale microarchitecture, developers of a variety of applications
can optimize the needs from ultra-low power of high-performance processing. This new microarchitecture is compliant with
the ARM* Version 5TE instruction set (excludes the floating-point instruction set).
I/O Products developer.intel.com/design/storage
58
High-performance companion chip for the Intel®80200 processor
The Intel®80314 companion chip for the Intel®80200 processor provides a rich peripheral set designed for storage and
network applications. The Intel 80314 can support either one or two Intel 80200 processors. The Intel 80314 uses an
internal switch fabric and supports concurrent transactions from any interface to any other interface. For fast memory
transactions, the Intel 80200 processor interface has a dedicated bus to the DDR SDRAM memory controller that allows
multiple concurrent outstanding transactions.
The Intel 80314 is especially well suited for high-performance storage applications such as network storage target devices
(SAN, iSCSI, NAS) and intelligent network adapter cards (iSCSI, IPv4, IPv6, IPSec). The integrated PCI-X bridge, dual-
ported ECC memory, low-latency 1 MB SRAM, high-bandwidth switch fabric and CRC32C and XOR engines make it an
ideal choice for these applications. In addition, the Intel 80314 is an excellent solution for embedded and networking
applications with its integrated dual-10/100/1000 Mbps MACs and flexible endian support.
FEATURES BENEFITS
Intel®80200 Interface High-performance Intel XScale®technology that scales
100 MHz Request Bus
4-Entry Request Buffer
2.5V I/O generation, 3.3V tolerant (from Intel 80200)
Support for single or dual Intel 80200 processors
ECC-based bus integrity protection
Dual PCI/PCI-X Interfaces PCI-X to PCI-X bridge integration lowers Bill of Material (BOM) cost
Integrated PCI-X bridge and helps reduce board space
25–133 MHz operation Provides for increased system concurrency
4 DMA units with chaining support
Support to configure the device from remote PCI host
CPCI hot-swap support
5V tolerant/3.3V I/O
4 concurrent split transactions
Configurable arbitration logic
Optimized Memory Controller Dramatically increases core to memory performance
Dual-ported MCU
64- and 72-bit memory
12 GB support, 3 DIMMs
Optional 1 MB of Low-Latency SRAM Low-latency memory option helps reduce bottlenecks and improve
performance
Communications Integration reduces board space, lowers BOM, and improves performance
2 GbE MACs
133 MHz, 32-bit local bus with 4-chip selects
I2C*
UARTs
8 GPIO pins
Application Accelerator Units in DMA Storage-specific integration in hardware improves performance
RAID5 XOR and helps reduce CPU overhead
iSCSI CRC32C
developer.intel.com/design/storage I/O Products
59
Intel®IOP321 I/O Processor
The Intel®IOP321 I/O processor is Intel’s fifth-generation I/O processor. It is the first I/O processor to integrate an Intel
XScale core and a PCI-X interface. Many storage, networking, and embedded applications require fast I/O throughput
for optimal performance. The Intel IOP321 is a highly integrated, cost-effective I/O system on a chip that delivers a two-fold
performance boost over its predecessor, the Intel®IOP310 I/O processor chipset, in I/O-intensive applications.
The Intel IOP321 is especially well suited to storage applications including RAID (Redundant Array of Independent
Disks), HBAs (Host Bus Adapters), and ROMB (RAID on motherboard). Its small package size, high data throughput, and
integrated AAU/XOR provide an optimized solution for ROMB applications. In addition, the Intel IOP321 processor is an
ideal choice for applications requiring a high-performance I/O subsystem in a tightly-integrated environment.
The Intel IOP321 offers the flexibility to interface with a wide variety of different interconnect technologies, including
SCSI, Fibre Channel, SATA, IB, and GBit Ethernet. Utilization of the Intel IOP321 across multiple applications can
contribute to cost savings, ease of development, and more effective inventory management.
FEATURES BENEFITS
400, 600 MHz Intel XScale®Core High-performance with low power
Integrated, System-On-A-Chip Design Smaller packaging, board space cost savings
133 MHz PCI-X Interface Industry-Standard I/O Bus
200 MHz DDR SDRAM Bus Higher memory performance
Up to 1GB memory support Two-fold increase in total memory addressability
32 bit Local Bus Excellent for embedded applications requiring non-PCI-X peripherals
such as ASICs, DSPs, or glueless FLASH
8 GPIOs Reduces chip count
4 SDRAM Output Clocks Saves board space
2 Programmable Timers Simplifies design
AAU/XOR Integrated data protection for RAID; cuts board cost/space
I/O Products developer.intel.com/design/storage
60
Intel®IOP303 I/O Processor
The Intel®IOP303 I/O processor, Intel’s third-generation I/O processor, provides developers with a fully validated, single-
chip solution that can deliver a 25 percent increase over the Intel®i960®RN processor in I/O performance in RAID 0
applications.
While the Intel IOP303 I/O processor is based on the Intel i960 processor core, the chip inaugurates a new naming
convention for Intel®I/O processors. The new “Intel®IOP300” series name provides an easier reference for continuing
performance increases on Intel’s I/O processor roadmap.
The Intel IOP303 I/O processor continues Intel’s commitment to strong I/O performance, delivered with high-integration.
In addition to its integrated 66 MHz PCI-to-PCI bridge, other enhanced integrated features include a 100 MHz internal bus
and 100 MHz SDRAM controller, XOR engine, SDRAM clocks, secondary PCI clocks and eight general-purpose I/O
registers, all on a single chip. Compared to the Intel i960 RN I/O processor, maximum accessible memory has been increased
from 128 Mbytes to 512 Mbytes of SDRAM. The chip supports both the 5V and 3.3V PCI 2.2 specifications.
FEATURES BENEFITS
Single chip, integrated I/O processor Integration. Pre-validated, fast time-to-market solution
66 MHz, 64-bit PCI-to-PCI bridge Standards. High data bandwidth and PCI compliant
64-bit, 100 MHz internal bus Data throughput. 800Mbps peak bandwidth for optimum data flow
Two address translation units ATU. Maps PCI memory space onto the internal bus for high-performance,
direct processor access
Three channel DMA controller DMA. Transfers blocks of data between the PCI bus and the local
Two channels between primary PCI bus and local memory processor memory at a maximum throughput of 528Mbps
One channel between secondary PCI bus and local memory
Application accelerator unit (integrated hardware XOR engine) XOR. Supports fast parity generation for applications such as RAID
levels 3 and 5
Performance Monitoring Unit (PMU) Performance tuning. Providing monitoring capabilities of 98 discrete
events to assist in fine-tuning applications for maximum performance
Integrated memory controller Memory. Supports 100 MHz SDRAM, also flash memory
I2C* bus interface unit System management. Supports server management features
(temperature control, LCD display)
I/O PROCESSORS LINE CARD
CORE PCI BUS
PRODUCT SPEED (MHz) SPEED (MHz) PCI CACHE AAU PMU LOCAL BUS PACKAGE
Intel®80331M500 500 133(PCI-X) 64-bit PCI-X bridge 32K I cache, 32K D cache Yes Yes 16 bit 829L FCBGA
Intel®80331M667 667 133(PCI-X) 64-bit PCI-X bridge 32K I cache, 32K D cache Yes Yes 16 bit 829L FCBGA
Intel®80331M800 800 133(PCI-X) 64-bit PCI-X bridge 32K I cache, 32K D cache Yes Yes 16 bit 829L FCBGA
Intel®80219M400 400 133(PCI-X) 64-bit PCI-X Interface 32K I cache, 32K D cache No Yes 32 bit 544L PBGA
Intel®80219M600 600 133(PCI-X) 64-bit PCI-X Interface 32K I cache, 32K D cache No Yes 32 bit 544L PBGA
Intel®80314GN N/A 133(PCI-X) 2 x 64-bit N/A Yes Yes 32 bit 1027L HSBGA
PCI-X Interfaces
Intel®80314GS N/A 133(PCI-X) 2 x 64-bit 1MB SRAM Yes Yes 32 bit 1027L HSBGA
PCI-X Interfaces
Intel®80321M400 400 133(PCI-X) 64-bit PCI-X Interface 32K I cache, 32K D cache Yes Yes 32 bit 544L PBGA
Intel®80321M600 600 133(PCI-X) 64-bit PCI-X Interface 32K I cache, 32K D cache Yes Yes 32 bit 544L PBGA
Intel®80200M200 200 N/A N/A 32K I cache, 32K D cache N/A N/A No 241L PBGA
Intel®80200M200T 200 N/A N/A 32K I cache, 32K D cache N/A N/A No 241L PBGA
Intel®80200M400* 400 N/A N/A 32K I cache, 32K D cache N/A N/A No 241L PBGA
Intel®80200M400T* 400 N/A N/A 32K I cache, 32K D cache N/A N/A No 241L PBGA
Intel®80200M600* 600 N/A N/A 32K I cache, 32K D cache N/A N/A No 241L PBGA
Intel®80200M600T* 600 N/A N/A 32K I cache, 32K D cache N/A N/A No 241L PBGA
Intel®80200M733* 733 N/A N/A 32K I cache, 32K D cache N/A N/A No 241L PBGA
Intel®80200M733T* 733 N/A N/A 32K I cache, 32K D cache N/A N/A No 241L PBGA
Intel®80303 100 66 64-bit PCI-to-PCI bridge 16K I cache, 4K D cache Yes Yes No 540L PBGA
* The Intel®80200Mxxx and Intel®80312 constitute the “Intel®IOP310 chipset.”
developer.intel.com/go/networkprocessors Network Processors
61
Intel®network processors combine the high performance and low power consumption of Intel XScale®technology with an
easy-to-use programming framework that enables flexible implementation of rich networking services at line rates up to
OC-192/10 Gbps. They are designed to meet the specialized packet handling requirements of market segments ranging from
customer premises equipment, to access, edge and the core of the network, and up through platforms that support secure
content processing.
Network Processors covered in this section are:
Intel®IXP2850: Network edge security and storage area network applications to OC-192/10 Gbps
Intel®IXP2800: Network edge and core applications to OC-192/10 Gbps
Intel®IXP2400: Network access and edge applications to OC-48/2.5 Gbps
Intel®IXP425: A broad range of home, SME, and embedded networking applications requiring high performance
Intel®IXP422: Home, SME, and embedded networking applications requiring security
Intel®IXP421: Home, SME and embedded networking applications requiring voice
Intel®IXP420: Cost-sensitive home, SME and embedded networking applications
INTEL®IXP2XXX NETWORK PROCESSOR PRODUCT LINE
Family Overview
Equipment designed for network edge and core applications requires high levels of processing performance to support
value-added network services at line rates up to and including OC-192/10 Gbps. While rapidly adding these new
services, network equipment vendors must continue to minimize development time and cost. To support these services and
to extend time-in-market network processors must combine performance with highly flexible control of processing resources.
In addition, implementation of standards-based interfaces for easy component integration and the ability to leverage software
investments by reusing code can dramatically speed time-to-market and lower development costs.
Intel’s second-generation network processors, the Intel IXP2400, Intel IXP2800, and Intel IXP2850, use fast and flexible
sharing of data and event signals among threads and microengines to manage data-dependent operations among multiple
parallel processing stages with low latency. Through this combination of flexible packet processing and fast inter-process
communication, Intel delivers rich processing capability at line rates up to and including OC-192/10 Gbps.
The Intel®IXP2XXX network processors deliver this enhanced performance with the programmability designed to speed
the deployment of intelligent network services. A centerpiece of Intel®Internet Exchange Architecture (Intel®IXA), Intel’s
second-generation network processor architecture scales to successive generations of networking products and is supported
by a common set of development tools, libraries, and example designs. In combination, the Intel IXP2400, Intel IXP2800,
and Intel IXP2850 provide the foundation for a comprehensive development environment that can dramatically accelerate
time-to-market, while enabling customers to reuse their investments in software.
Development Environment
Intel provides a comprehensive development environment that enables customers to rapidly develop applications for the
Intel IXP2400, Intel IXP2800, and Intel IXP2850 network processors and migrate existing applications from the Intel®
IXP1200 network processor family. The development environment includes the Intel®Internet Exchange Architecture
Software Development Kit (Intel®IXA SDK) 3.x, complemented by a choice of hardware development platforms, supporting
software and tools, example designs and professional services.
Network Processors
Network Processors developer.intel.com/go/networkprocessors
62
Intel provides developers designing with the Intel®IXP2XXX network processors a choice of advanced development
platforms based on their application needs:
The Intel®IXDP2400 and the Intel®IXDP2800/IXDP2850 Advanced Development Platforms are dual-chip
implementations ideal for developing access, edge and metro/core applications up to OC-192/10 GbE line rates.
The Intel®IXDP2401 and the Intel®IXDP2801/IXDP2851 Advanced Development Platforms are standards-based,
PICMG 3.0 Advanced Telecom Computing Architecture* (AdvancedTCA) compliant single-chip implementations ideal
for designing access and edge applications up to OC-48/4 GbE line rates.
These development platforms further enhance the value of the network processors by providing a robust development
environment that can dramatically accelerate the development and validation of new products designed for OC-3 to OC-192
line rates. These development platforms can be used in several ways:
Developers can write code and run simulations in a Windows*-based PC environment using the graphical workbench
and the cycle-accurate simulator, and then use the development platform to verify system functionality before the
customer hardware is available.
Hardware design engineers can reuse schematic source files included in their board design to jump-start their board
development effort.
Developers can validate a design using the base card and mezzanine cards available from Intel, or design and test a
custom I/O subsystem using the development platform prior to committing to a full board design.
With the IXDP2401, IXDP2801 and IXDP2851, developers can add additional AdvancedTCA boards from Intel as
well as third parties to prototype more complete systems.
Developers can design a proprietary switch fabric card, and use an interface on the base card to implement a fabric
backplane.
The Intel IXA SDK 3.x enables hardware and software engineering to proceed in parallel. The SDK provides the software
team with an easy-to-use graphical simulation environment for developing, debugging, and optimizing a network application
at the same time that the hardware team is working on design and prototyping the device. By using the development tools,
network building blocks and the Intel®IXA Portability Framework in the SDK, the design team can achieve an unparalleled
time-to-market advantage.
Intel IXA SDK 3.x preserves investments in software for the Intel IXP1200 network processor by maintaining the familiar
best-in-class Developer’s Workbench programming environment and extending it to support the Intel IXP2400, Intel
IXP2800, and Intel IXP2850 network processors. Developers who use the Intel®IXA SDK dataplane library, Intel®
Microengine C Compiler and the programming framework with the Intel IXP1200 will be able to retarget their code for the
Intel IXP2400, Intel IXP2800, and Intel IXP2850 by using the same or similar facilities in SDK 3.x.
Intel IXA SDK 3.x consists of two parts separately packaged and released: Intel IXA SDK Tools 3.x and Intel IXA SDK
Software Framework 3.x. Intel IXA SDK Tools 3.x includes microengine (MEv2) development environment and tools used
by customers to develop, debug and tune application code that runs on microengines of IXP2XXX network processors. Intel
IXA SDK Software Framework 3.x includes software building blocks, framework for combining these building blocks and
software pipelines based on these blocks for jump-starting customer application development.
Intel also provides all the tools needed to build challenging products while meeting aggressive development schedules,
including example designs and professional software services to enable developers to take advantage of the flexibility offered
by Intel®network processors. As a result, developers can reduce the time, effort, and resources required for lower-level,
standards-based platform software, and focus on developing new value-adding services and features that differentiate
products at the application level.
developer.intel.com/go/networkprocessors Network Processors
63
INTEL®IXP2850 NETWORK PROCESSOR FOR HIGH-SPEED, SECURE
CONTENT PROCESSING IN A SINGLE CHIP
Product Overview
The newest member of the Intel®second-generation network processor product family, the Intel IXP2850 network
processor, delivers high-performance packet and content processing with robust security features in a single platform. By
integrating capabilities that have typically required multiple specialized processors, the Intel IXP2850 provides a secure and
cost-effective platform that enables a broad range of emerging applications..
Target Applications
Several current application trends are driving the need for high-performance secure content processing. Global enterprise
networks are moving from dedicated connections to virtual private networks. Applications for e-Commerce must support
secure Web browsing. Wireless LAN applications have multiple new security standards to support. Distributed storage
applications use secure mechanisms for protocol integration and data exchange. In addition, cost efficiencies and performance
requirements are fostering a migration of these applications from computing platforms to communications products. These
development opportunities include:
Converged security gateway applications including IPSec and SSL VPN, Stateful Firewall, TCP Offload, IDS/IPS
and Virus detection
Service blades for bulk cryptography and TCP offload in infrastructure switches, routers and appliances
IPsec/TCP termination and offload functionality in networked storage applications
Content-aware load balancing in network appliances, such as Web switches, located in front of server farms
FEATURES BENEFITS
Sixteen integrated programmable 1.4 GHz microengines Multithreaded dataplane processing elements provide headroom for
high-speed packet, content, and secure processing
Two integrated cryptography blocks Provide hardware acceleration for DES, 3DES, AES, and SHA-1
algorithms. Enables bulk encryption/decryption for IPsec data streams at
speeds up to 10 Gbps
Flow-through cryptography architecture processes packets “on the fly” Minimizes packet reassembly in memory and increases performance
Supports ECB and CBC cipher modes Provides flexibility to address multiple application environments
Integrated Intel XScale®Core Embedded 32-bit RISC core for IKE, route table maintenance and system-
32 Kbyte—Instruction cache level management functions lowers system cost and saves board space
32 Kbyte—Data cache
2 Kbyte—Mini-data cache
Support for more than 2 GB of memory Supports large numbers of security associations for robust performance
PCI 2.2 I/O Interface Supports industry-standard connection to additional processors to
accelerate security functions such as public key exchange
Modular software building blocks for IPsec and TCP Simplifies product development and speeds time-to-market
Comprehensive development environment: Software SDK Improves time-to-market via robust hardware and software development
and Hardware Development Platform tools
Professional design services Reduces development risk and time
~2 watts of incremental power for cryptography blocks Low power consumption reduces system costs
Power Dissipation ~27.5 Watts typical, ~32 Maximum @ 1.4 GHz operation
~19.5 Watts typical, ~25 Maximum @ 1.0 GHz operation
~16.5 Watts typical, ~21 Maximum @ 650 MHz
Software- and pin-compatible with IXP2800 Enables reuse of board designs, reduces development cost, and saves
board space
Network Processors developer.intel.com/go/networkprocessors
64
INTEL®IXP2800 NETWORK PROCESSOR FOR OC-192/10 GBPS NETWORK
EDGE AND CORE APPLICATIONS
Product Overview
The Intel IXP2800 network processor is a member of Intel’s second-generation network processor family. Based on
the first-generation Intel IXP1200, the Intel IXP2800 is a programmable network processor that integrates a high-
performance parallel processing design on a single chip for processing complex algorithms, deep packet inspection,
traffic management, and forwarding at wire speed. Its store-and-forward architecture combines a high-performance
Intel XScale core with sixteen 32-bit independent multithreaded microengines that cumulatively provide more than
23.1 giga-operations per second. The microengines provide the processing power to perform tasks that traditionally
required expensive high-speed ASICs.
Application Flexibility
The ability of the Intel IXP2800 network processor to support OC-192/10 Gbps line rates makes it the optimal solution for
a wide variety of high-performance applications such as Metropolitan Area Network (MAN) switches and routers,
Internet edge and core switches and routers, multi-service switches, 10 Gbps enterprise switches and routers designed to meet
the requirements of advanced data centers, storage area networks (SAN), and content-aware server off-load/Web switches.
The network processor’s programmability also makes it the right choice for IPSec and Virtual Private Network (VPN)
solutions, and wireless infrastructure equipment. Functionality includes:
Ethernet/POS/ATM Layer 4 forwarding in core, MAN and edge applications
Protocol conversion, forwarding and aggregation for multi-service switches, cable headends and DSLAM aggregation
ATM SARing and forwarding with advanced traffic shaping
Content-aware load balancing, forwarding, and policing
Encryption for VPNs and IPSec applications
GPPS Tunneling Protocol and IPv6 in wireless infrastructure applications
TCP/IP termination for enterprise data center and SANs
SSL/TLS acceleration
developer.intel.com/go/networkprocessors Network Processors
65
FEATURES BENEFITS
16 integrated programmable microengines with 8K instruction Enhanced second-generation flexible multithreaded RISC processors that
program stores can be programmed to deliver intelligent transmit and receive processing,
with robust software development environment for rapid product
development
Integrated Intel XScale®Core Embedded 32-bit RISC core for high-performance processing of complex
32 Kbyte—Instruction cache algorithms, route table maintenance and system-level management
32 Kbyte—Data cache functions. Lowers system cost and saves board space
32 Kbyte—Mini-data cache
Two unidirectional 16-bit Low Voltage Differential Signaling Supports industry-standard interfaces to media and fabric devices,
(LVDS) data interfaces programmable to be SPI-4 Phase 2 or CSIX delivering OC-192 and 10Gbps Ethernet performance rates; simplifies
design and interface to custom ASIC
Three industry-standard RDRAM interfaces Memory subsystem to support the network processor store-and-forward
processing model
Four industry-standard 32-bit QDR SRAM interfaces Memory subsystem for look-up tables and access lists
PCI 2.2 I/O Interface Supports industry-standard connection to system host processors
8-bit asynchronous control interface Provides control interface for connecting to maintenance port of PHY
devices and flash memory
Hardware support for memory access queuing Simplifies product development and reduces system cost
JTAG support Improve hardware debug ability
Comprehensive Development Environment: Software SDK Improves time-to-market via robust hardware and software development
and Hardware Development Platform tools
Power Dissipation ~25.5 Watts typical, ~ 30 Maximum @ 1.4 GHz operation
~17.5 Watts typical, ~ 23 Maximum @ 1.0 GHz operation
~16 Watts typical, ~20 Maximum @ 650 MHz
Additional integrated hardware features: Simplifies development, reduces development cost and saves board space
Hardware Hash Unit (48, 64, and 128 bit)
16-Kbyte Scratchpad Memory
Serial UART port for debug
Four general-purpose I/O pins
Four 32-bit timers
INTEL®IXP2400 NETWORK PROCESSOR FOR OC-48/2.5 GBPS NETWORK
ACCESS AND EDGE APPLICATIONS
Product Overview
The Intel IXP2400 network processor is a member of Intel’s second-generation network processor family. It is designed
for a wide range of access and edge applications including multi-service switches, routers, broadband access devices, and
wireless infrastructure systems. Based on the first-generation Intel IXP1200 network processor, the Intel IXP2400 is a fully
programmable network processor that implements a high-performance parallel processing architecture on a single chip for
processing complex algorithms, deep packet inspection, traffic management, and forwarding at wire speed. Its store-and-
forward architecture combines a high-performance Intel XScale core with eight 32-bit independent multithreaded
microengines that cumulatively provide more than 5.4 giga-operations per second. The microengines provide the processing
power to perform tasks that traditionally required expensive high-speed ASICs.
Network Processors developer.intel.com/go/networkprocessors
66
Application Flexibility
The ability of the Intel IXP2400 network processor to support OC-48/2.5 Gbps line rates makes it ideal for a wide variety
of high-performance applications such as Wide Area Networking (WAN) multi-service switches, DSLAMs (DSL access
multiplexers), CMTS (cable modem termination system) equipment, 2.5G and 3G wireless infrastructure base station
controllers and gateways, and Layer 4–7 switches including content-based load balancers, and firewalls. The programmability
of the Intel IXP2400 also makes it well suited for VoIP gateways, multi-service access platforms, high-end routers, remote
access concentrators, and Virtual Private Network (VPN) gateways. Usage models for the Intel IXP2400 in the target market
segments listed above are as follows:
Aggregation, ATM SARing, traffic shaping, policing, forwarding, and protocol conversion in DSLAM equipment
Aggregation, forwarding, and protocol conversion in CMTS equipment
ATM SARing, encryption, and forwarding in base station controllers/radio network controllers
GTP Tunneling and IPv6 forwarding in wireless infrastructure
ATM SARing, traffic shaping, policing, protocol conversion, and aggregation for multi-service switches
Content-aware load balancing, forwarding, and policing
FEATURES BENEFITS
Eight integrated programmable microengines with Enhanced second-generation flexible multithreaded RISC processors that
4K instruction program stores can be programmed to deliver intelligent transmit and receive processing,
with robust software development environment for rapid product
development
Integrated Intel XScale®Core Embedded 32-bit RISC core for high-performance processing of complex
32 Kbyte—Instruction cache algorithms, route table maintenance, and system-level management
32 Kbyte—Data cache functions. Lowers system cost and saves board space
2 Kbyte—Mini-data cache
Two unidirectional 32-bit media interfaces (Rx and Tx) Supports industry-standard cell and packet interfaces to media and fabric
programmable to be SPI-3, UTOPIA 1/2/3 or CSIX-L1. devices delivering 4 Gbps performance rates that can support OC-48 plus
Each path is configured for 4x8 bit, 2x16 bit, 1x32 bit or fabric encapsulation overhead or 4 x GbE; simplifies design and interface to
combinations of 8 and 16 bit data paths custom ASIC devices
One industry-standard DDR DRAM interface Memory subsystem supports the network processor store-and-forward
processing model
Two industry-standard QDR SRAM interfaces Memory subsystem for look-up tables and access lists, or coprocessors
(such as CAM/TCAM, IPsec devices). NPF standardized interface for
coprocessors
PCI 2.2 64 bit/66 MHz I/O Interface Supports industry-standard connection to system host processors
Asynchronous control interface supports 8-, 16-, 32-bit Provides control interface for connecting to maintenance port of PHY
slow port devices devices and flash memory
Hardware support for memory access queuing Simplifies application development and reduces system cost
JTAG support Improves hardware debug ability
Software SDK Improves time-to-market via robust hardware and software development
Hardware Development Platform tools
Power Dissipation ~13 Watts typical, ~ 16 Maximum @ 600 MHz operation
~9 Watts typical, ~ 12 Maximum @ 400 MHz operation
Additional integrated hardware features: Simplifies development, reduces development cost, and saves board space
Hardware Hash Unit (48, 64, and 128 bit)
16 Kbyte Scratchpad Memory
Serial UART port for debug
Eight general-purpose I/O pins
Four 32-bit timers
developer.intel.com/go/networkprocessors Network Processors
67
INTEL®IXP4XX NETWORK PROCESSOR PRODUCT LINE
Family Overview
The Intel®IXP4XX product line is designed to meet the needs of a variety of applications such as high-end residential
gateways; small-to-medium enterprise (SME) routers, switches, security devices; wireless access points; industrial
control systems, networked printers, and other embedded networked applications. The IXP4XX product line delivers wire-
speed performance and sufficient “processing headroom” for manufacturers to add a variety of rich software services to
support their applications. These are highly integrated processors that support a variety of WAN and LAN technologies
giving customers a common architecture for multiple applications.
The IXP4XX product line meets the requirements of networking applications with a unique distributed architecture that
features the performance of the Intel XScale®core and up to three Network Processor Engines (NPEs). The combination
of the four high-performance processing elements provides tremendous processing power and enables wire-speed
performance at both the LAN and WAN ports. The NPEs are designed to offload many computationally intensive data
plane operations from the core. This provides ample processing headroom on the Intel XScale core for developers to add
differentiating product features.
The four network processors in the IXP4XX product line are the Intel®IXP425, the Intel®IXP422, the Intel®IXP421, and
the Intel®IXP420. The Intel®IXP425 network processor is the most feature rich of these processors, supporting the widest
variety of processor speed and connectivity options. The other three network processors offer a subset of the features found
on the Intel®IXP425 network processor. For an easy reference of features, see the table following the product descriptions.
Tools, Applications, and Operating Systems Support Rapid Development
Intel XScale technology includes a broad range of development tools and applications, together with support for multiple
operating systems making software development easier. The Intel IXP4XX product line currently supports Wind River*
VxWorks*, Microsoft* Windows CE, and the standard Linux* kernel. Third-party products are available for the Intel
IXP4XX product line including Wind River Tornado* for VxWorks, and the MontaVista* Linux Professional Edition.
Multiple third-party vendors provide application stacks and advanced development environment support.
To help speed time-to-market and reduce development costs, developers have a wide choice of Intel XScale technology-
based tools. The Intel IXP4XX network processor may be controlled during debug through a JTAG interface to the processor.
The Macraigor* Raven*, Wind River Systems visionPROBE*/visionICE* and EPI* MAJIC*, and other JTAG ICE systems
will plug into the JTAG interface through a 20-pin connector.
Development Platform for Faster Time-to-Market
The Intel®IXDP425 Development Platform is a powerful tool for development and verification of hardware and
software for the Intel IXP4XX product line. Using a common development platform across the product line helps
reduce costs and speeds development by providing a consistent tools/development environment. Developers can use
this flexible and extendable platform to conduct rapid initial chip evaluation, chip performance evaluation, product
development and prototyping. Pin compatibility among members of the Intel IXP4XX product line further reduces
hardware design complexity.
Network Processors developer.intel.com/go/networkprocessors
68
INTEL®IXP425 NETWORK PROCESSOR FOR A BROAD RANGE OF HOME,
SME, AND EMBEDDED NETWORKING APPLICATIONS
Product Overview
The Intel IXP425 network processor is a highly integrated, versatile single-chip processor that can be used in a variety
of products that need network connectivity and high performance to run their unique software applications. The Intel
IXP425 combines integration with support for multiple WAN and LAN technologies in a common architecture designed
to meet requirements for high-end gateways, Voice over IP (VoIP) applications, wireless access points, small-to-medium
enterprise (SME) routers, switches, security devices, industrial control systems, networked imaging, and other networked
embedded applications.
The IXP425 network processor offers the choice of multiple clock speeds at 266, 400, and 533 MHz, with both commercial
and extended temperature options. The Intel IXP425 network processor feature set includes a UTOPIA 2 interface, two high-
speed serial (HSS) interfaces, high-performance PCI interface, USB controller, two 10/100 Ethernet MACs and an IPsec-
enabled network processor engine (NPE) to accelerate cryptography and authentication algorithms.
Product Highlights
Member of the Intel IXP4XX network processor product line
Intel XScale®core at up to 533 MHz provides headroom for customer-defined applications
Integrated hardware acceleration of popular cryptography algorithms (SHA-1, MD5, DES, 3DES, AES) for secure
applications
DSP software library on the Intel XScale core supports 2–4 voice channels and reduces system cost
Two high-speed serial (HSS) ports for VoIP SLIC/CODEC or T1/E1
Two integrated 10/100 Base-T Ethernet MACs with Media Independent Interface (MII) for design flexibility
and cost-effective wire-speed performance
UTOPIA 2 interface with multiple ADSL/G.SHDSL or VDSL support
33/66 MHz PCI v2.2 host and option interface for glueless connection of up to four devices
SDRAM controller supports from 8 to 256 Mbytes of SDRAM memory
Low system power consumption (1.0–1.5 Watt typical)
USB version 1.1 device controller
Two high-speed UARTS support up to 921 Kbaud each
Sixteen GPIO pins
16-bit configurable expansion bus
Commercial temperature (0° to +70° C) and extended temperature (-40° to +85° C) versions
developer.intel.com/go/networkprocessors Network Processors
69
INTEL®IXP422 NETWORK PROCESSOR FOR HOME/SME APPLICATIONS
REQUIRING SECURITY FEATURES
Product Overview
The Intel IXP422 network processor is a versatile, single-chip processor that integrates robust security features with the
necessary interfaces, wire-speed performance and processing headroom to meet demanding applications including
wireless access points, residential gateways, VPN firewall appliances, SME routers and switches, industrial control,
networked imaging, and other embedded networked applications.
The Intel IXP422 network processor feature set includes a 266 MHz Intel XScale RISC core, high-performance PCI
interface, USB controller, two 10/100 Ethernet MACs and an IPsec-enabled Network Processor Engine (NPE) to accelerate
cryptography and authentication algorithms.
Product Highlights
Member of the Intel IXP4XX network processor product line
Intel XScale®core at 266 MHz provides headroom for customer-defined applications
Integrated hardware acceleration of popular cryptography algorithms (SHA-1, MD5, DES, 3DES, AES) for secure
applications
Two integrated 10/100 Base-T Ethernet MACs with Media Independent Interface (MII) for design flexibility
and cost-effective wire-speed performance
33/66 MHz PCI v2.2 host and option interface for glueless connection of up to four devices
SDRAM controller supports from 8 to 256 Mbytes of SDRAM memory
Low system power consumption (1.0–1.5 Watt typical)
USB version 1.1 device controller
Two high-speed UARTS support up to 921 Kbaud each
Sixteen GPIO pins
16-bit configurable expansion bus
Commercial temperature (0° to +70° C)
INTEL®IXP421 NETWORK PROCESSOR FOR HOME/SME APPLICATIONS
SUPPORTING VOICE OVER IP
Product Overview
The Intel IXP421 network processor is a versatile single-chip processor that meets the needs of high-performance and
cost-sensitive data and Voice over IP (VoIP) applications ranging from residential gateways, Integrated Access Devices
(IADs) and small office IP/PBX systems to industrial control, networked imaging, and other embedded networked
applications. The Intel IXP421 network processor provides cost-effective implementations that extend the rich performance
and features on the Intel IXP425 network processor into targeted market segments.
The Intel IXP421 network processor feature set integrates a 266 MHz Intel XScale RISC core, high-performance PCI
interface, USB controller, UTOPIA 2 interface, two high-speed serial HSS and one 10/100 Ethernet MAC. These features
provide developers with the processing power, low power consumption, cost-effectiveness and flexibility to address the needs
of data plus VoIP applications.
Network Processors developer.intel.com/go/networkprocessors
70
Product Highlights
Member of the Intel IXP4XX network processor product line
Intel XScale®core at 266 MHz provides headroom for customer-defined applications
DSP software library on Intel XScale core supports 2–4 voice channels and reduces system cost
Two high-speed serial (HSS) ports for VoIP SLIC/CODEC or T1/E1
One integrated 10/100 Base-T Ethernet MACs with MII/RMII interface for design flexibility and cost-effective
wire-speed performance
UTOPIA 2 interface supports up to four xDSL PHYs (ADSL, G.SHDSL or VDSL)
33/66 MHz PCI v2.2 host and option interface for glueless connection of up to four devices
SDRAM controller supports from 8 to 256 Mbytes of SDRAM memory
Low system power consumption (1.0–1.5 Watt typical)
USB version 1.1 device controller
Two high-speed UARTS: can support up to 921 Kbaud each, or one UART at 921 Kbaud and one Console UART
(230 Kbaud)
Sixteen GPIO pins
16-bit configurable expansion bus
Commercial temperature (0° to +70° C)
INTEL®IXP420 NETWORK PROCESSOR FOR HIGHLY COST-SENSITIVE
HOME/SME APPLICATIONS
Product Overview
The Intel IXP420 network processor is a single-chip integrated processor that meets the needs of high-performance and
cost-sensitive applications ranging from home gateways, small office/home office (SOHO) routers and wireless access
points to industrial control, networked imaging, and other networked embedded applications.
The Intel IXP420 network processor feature set integrates an Intel XScale®core, high-performance PCI interface, USB
controller, and two 10/100 Ethernet MACs. This network processor enables cost-effective implementations that extend the
processing power, low power consumption and flexibility of the Intel IXP425 network processor into targeted market
segments.
Product Highlights
Member of the Intel IXP4XX network processor product line
Intel XScale®core provides headroom for customer-defined applications
Two integrated 10/100 Base-T Ethernet MACs with MII/RMII interface for design flexibility and cost-effective
wire-speed performance
33/66 MHz PCI v2.2 host and option interface for glueless connection of up to four devices
SDRAM controller supports from 8 to 256 Mbytes of SDRAM memory
Low system power consumption (1.0–1.5 Watt typical)
USB version 1.1 device controller
Two high-speed UARTS support up to 921 Kbaud each
Sixteen GPIO pins
16-bit configurable expansion bus
266, 400 and 533 MHz Commercial temperature (0° to +70° C)
266 MHz extended temperature (-40° to + 85° C)
developer.intel.com/go/networkprocessors Network Processors
71
SUMMARY OF INTEL®IXP4XX PRODUCT LINE FEATURES
INTEL®INTEL®INTEL®INTEL®
IXP425 IXP422 IXP421 IXP420
Intel XScale®Core Speed 266/400/533 MHz 266 MHz 266 MHz 266/400/533 MHz
UTOPIA 2
GPIO••••
UART 0/1 ••••
HSS 0
HSS 1
MII 0 ••••
MII 1
USB••••
PCI••••
Expansion Bus ••••
SDRAM Supports 8–256 MB Supports 8–256 MB Supports 8–256 MB Supports 8–256 MB
AES/DES/DES3
SHA-1/MD-5
Multi-Channel HDLC
VoIP support 2–4 channels 2–4 channels
Commercial Temperature ••••
Extended Temperature
Intel®IXP4XX Product Line Ordering Information
PRODUCT ORDER NUMBER
Intel®IXP425 Network Processor, 266 MHz CT FWIXP425BB
Intel®IXP425 Network Processor, 266 MHz ET GWIXP425BBT
Intel®IXP425 Network Processor, 400 MHz CT FWIXP425BC
Intel®IXP425 Network Processor, 400 MHz ET GWIXP425BCT
Intel®IXP425 Network Processor, 533 MHz CT FWIXP425BD
Intel®IXP425 Network Processor, 533 MHz ET GWIXP425BDT
Intel®IXP425 Network Processor, 533 MHz CT PRIXP425BD
(Lead Free Eng Samples)
Intel®IXP422 Network Processor, 266 MHz CT FWIXP422BB
Intel®IXP421 Network Processor, 266 MHz CT FWIXP421BB
Intel®IXP421 Network Processor, 266 MHz CT PRIXP421BB
(Lead Free Eng Samples)
Intel®IXP420 Network Processor, 266 MHz CT FWIXP420BB
Intel®IXDP425 Network Processor Development Platform KIXDP425BD2
Intel®IXDP425 Network Processor Development Platform KIXDP425VMU
Voice Module Upgrade Kit
Intel®IXDP425 Network Processor Development Platform KIXDP425CEU
WinCE Upgrade Kit
Network Processors developer.intel.com/go/networkprocessors
72
developer.intel.com/design/network Networking Products
73
WORKGROUP AND ENTERPRISE SOLUTIONS
Ethernet Transceivers
Ethernet transceivers are 10BASE-T Physical Layer (PHY) devices that provide low-cost solutions for desktop and node
applications. These industry-standard single-port transceivers implement all required functions of the physical coding
sublayer (PCS) and physical media attachment (PMA) sublayers as defined in IEEE 802.3. They provide all the active
circuitry to interface 802.3-compliant media access controllers (MACs) and either twisted-pair or coax transmission media.
Fast Ethernet Transceivers
Fast Ethernet transceivers are 10/100 PHY devices that provide high-performance interface solutions for networks that use
equipment running at either 10Mbps or 100Mbps. They support standard CSMA/CD operation or full-duplex operation
at 10Mbps or 100Mbps. Available as a single- or multi-port option, they are suited for uses ranging from embedded
applications to high-density enterprise switches. Fast Ethernet transceivers provide the active circuitry to interface
802.3-compliant 10/100Mbps MACs and twisted-pair or fiber network media. Line operating conditions are determined
using auto-negotiation or parallel detection for legacy systems. The devices implement all required functions of the PCS,
PMA, and physical media dependent (PMD) sublayers, with a media independent interface (MII) as defined in IEEE 802.3.
Gigabit Ethernet Transceivers
1000BASE-T Gigabit Ethernet transceivers are 10/100/1000 PHY devices that provide high-performance interface
solutions for 10/100/1000Mbps network equipment. They support standard CSMA/CD operation or full-duplex operation
at 10, 100, or 1000Mbps. The single-port device is ideally suited for embedded applications or uplinks on high-performance
Ethernet switches. Gigabit Ethernet PHY transceivers provide the active circuitry to interface 802.3-compliant
10/100/1000Mbps MACs and twisted-pair network media. Line operating conditions are determined using auto-negotiation
or parallel detection for legacy systems. The 10/100/1000Mbps Ethernet transceiver implements all the required functions of
the PCS, PMA, and PMD sublayers, with a Gigabit media independent interface (GMII) as defined in IEEE 802.3.
Ethernet and Fast Ethernet Repeaters
An Ethernet repeater is a device that repeats a signal received from any port onto all other ports and is, therefore, a shared
technology. It is the “hub” that links physically distinct network segments into one logical network. It can also be used
to “fan-out” one Ethernet connection to many connections. The family of workgroup and enterprise repeater products
includes a variety of cost-effective repeater silicon solutions for managed and unmanaged applications. 10BASE-T solutions
are available as four-port devices. 10/100Mbps solutions are available in five-, six-, or eight-port devices. Port density and
management options enable these devices to be used in a variety of applications such as small office hubs or home
gateways/modems.
Media Access Controllers
Media Access Controllers (MACs) in a local area network control access to the shared medium by LAN-attached
devices. Within a MAC are defined data link layer options which specify the basis on which devices access the
shared medium, and the basis on which congestion control is exercised. The first members of the new MAC family, the
Intel®IXF1110 Gigabit and Intel®IXF1010 100/1000 Megabit MACs, are the first to use a more robust SPI4-2 interface
implementation called “dynamic phase alignment.” Dynamic phase alignment improves data integrity to help ensure
10-Gbps wire-speed performance. These MACs are ideal for solutions in high-end, modular switching applications such
as routers and multi-service switches that support LAN and WAN technologies.
Networking Products
Networking Products developer.intel.com/design/network
74
Ethernet Switching Devices
An Ethernet switch is a multi-port device used to relay frames or packets among a set of networks. Multi-layer
switch/routers combine the functions of a Layer 2 switch and a router. The Intel®Media Switch Family, including
silicon, software, and system building blocks, supports the needs of media-aware networks with advanced quality of service
(QoS) capabilities, programmability, and performance at competitive pricing. The Intel Media Switch Software Architecture
is a comprehensive package of licensed software tools including APIs and device drivers. Intel partners with other vendors to
provide protocol support. A flexible, scalable architecture makes these devices ideal for designs across the entire networking
equipment spectrum including: 10/100/1000Mbps standalone switches to the desktop, stackable switches for workgroup
aggregation, chassis-based switches for connecting to the enterprise backbone, and routing switches within the data center
and network core.
Intel®Carrier Class Ethernet
Many networking and telecom applications require high-performance Ethernet components capable of operating under
harsh environmental conditions. Intel®Carrier Class Ethernet products support operation over the entire extended
temperature range while providing features that increase reliability. Each device has an operation lifetime of at least 10 years
with less than 100 failures per billion hours. All Intel Carrier Class Ethernet devices will be available a minimum of five years
from product introduction.
The Intel Carrier Class Ethernet product portfolio includes solutions for Ethernet physical layer, switching and repeater
technologies at a variety of speeds. Intel Carrier Class Ethernet products are ideal for applications where equipment must
function reliably in uncontrolled environmental conditions such as base stations, telecom/network switches, factory floor
equipment, and industrial computers. Products include the IXE2424EE, LXT9880AGE, LXT914, LXT973, LXT9785,
LXT905, and LXT971A/972A.
NETWORKING PRODUCT LINE SUMMARY
The following table summarizes the networking product application features. For full information refer to the product datasheets.
APPLICATIONS PART NUMBER FEATURES
Ethernet Transceivers
Ethernet Interface Adapter (Universal) LXT907A/LXT908
10BASE-T hub and switching products
10BASE-T LAN adapter boards for
computers/workstations
Printer network attachments
PCMCIA LAN cards
Workstation/graphic terminals
PC-PC servers (adapter/motherboard)
10BASE-T interconnects (MAU)
Bridges/routers
Terminal servers
Point-of-sale interfaces
Ethernet Interface Adapter (10BASE-T) LXT905
Portable computers
PDAs switching hubs
Printer adapter cards
PCMCIA cards
Diagnostic port in telecom
Integrated AUI transceivers Integrated 10BASE-T transceivers
Improved filters to simplify FCC compliance Integrated Manchester
encoder/decoders Automatic/manual AUI/RJ-45 selection
Automatic polarity correction Standard and full-duplex Ethernet
Power-down mode with tri-stated outputs Four loopback modes
Four LED drivers Selectable termination impedance for use with
shielded or unshielded twisted-pair (LXT901A only) Signal Quality
Error (SQE) disable function for hub and switch applications
(LXT907A & LXT908 only)
3.3V or 5V operation, power-down mode for battery operation
Provides all active circuitry for interfacing 802.3 controllers to a
10BASE-T media Includes Manchester encoder/decoder, reversed
polarity detection/correction, integrated filters LED driver, full duplex
capability Signal Quality Error (SQE) disable function for hub and
switch applications Intel®Carrier Class Ethernet support
developer.intel.com/design/network Networking Products
75
APPLICATIONS PART NUMBER FEATURES
Fast Ethernet Transceivers
Fast Ethernet Transceiver LXT970A
10/100 NICs
10/100 switches
100BASE-FX NICs and switches
Fast Ethernet Transceiver LXT971A
Combination 10BASE-T/100BASE-TX or
100BASE-FX NICs
10/100 PCMCIA cards
Cable modems and set-top boxes
Fast Ethernet Transceiver LXT972A
Combination 10BASE-T/100BASE-TX NICs
10/100 PCMCIA cards
Cable modems and set-top boxes
Fast Ethernet Transceiver LXT973
Building block for telecom backplane systems
Internet protocol telephones
Twisted pair to fiber converter modules
Customer premise equipment
Fast Ethernet Transceiver LXT9785
Enterprise switches
Workgroup switches
Storage area networks
Multi-port NICs
IP telephony switches (LXT9785E)
LXT9785E
The single RJ-45 port for 10BASE-T and 100BASE-TX simplifies
designs, reduces board space and minimizes system cost Baseline
Wander Correction delivers consistent, error-free performance
Devices perform error free over distances greater than 130 meters of
Category 5 cable—far exceeding the IEEE specification of 100 meters
Auto-negotiation for 10Mbps or 100Mbps, with full- or half-duplex
and parallel detection, provides flexible application support and
interoperability with legacy equipment MII operates with either a
3.3V or 5V power supply, allowing the use of low-power MACs
100BASE-FX port provides fiber-optic media support Multiple
operating modes for NICs and switches help reduce costs and simplify
design cycles Dual operating modes for standard MII and symbol
mode MII provide flexible MAC support
3.3V operation, low power consumption (350 mW typical), low-
power “sleep” mode 10BASE-T and 100BASE-TX using a single
RJ-45 connection Supports auto-negotiation and parallel detection
MII interface with extended register capability Robust baseline
wander correction performance 100BASE-FX fiber-optic capable
Standard CSMA/CD or full-duplex operation Configurable via
MDIO serial port or hardware control pins Integrated, programmable
LED drivers 64-pin PBGA, 64-pin LQFP Intel Carrier Class
Ethernet support
3.3V operation, low power consumption (350 mW typical)
10BASE-T and 100BASE-TX using a single RJ-45 connection
Supports auto-negotiation and parallel detection MII interface
with extended register capability Robust baseline wander correction
performance Standard CSMA/CD or full-duplex operation
Configurable via MDIO serial port or hardware control pins
Integrated, programmable LED drivers 64-pin LQFP
Two-port Fast Ethernet PHY 2.5V operation 3.5V operation I/O
compatibility Low power consumption (250 mW per port typical)
Full two-port MII interface with extended registers Auto
MDI/MDIX switch-over capability Status bits for LED generation
100BASE-FX fiber-optic capability on both ports Support for Next
Page Integrated termination resistors 100-pin PQFP at commercial
and extended temperature
Eight independent IEEE 802.3-compliant 10BASE-T or 100BASE-TX
ports Very low power consumption (250 mW per port, typical)
2.5V operation Multiple interfaces: RMII, SMII, SS-SMII
Auto MDI/MDI-X Robust baseline wander correction Auto-
negotiation, parallel detection 10/100Mbps full-duplex operation
Sectionalization into 1x8 or 2x4 configurations Register
compatibility with LXT9781, LXT9782
Configurable via MDIO port or external control pins JTAG
boundary scan Compatible with 2.5V I/O and 3.3V I/O Integration
of input termination resistors Intel®Carrier Class Ethernet support
Added DTE discovery, enhanced IP Telephony features
Networking Products developer.intel.com/design/network
76
APPLICATIONS PART NUMBER FEATURES
Ethernet Repeaters
Managed Repeaters LXT914
Stackable and stand alone workgroup hubs
Embedded applications for print servers
and multi-port routers
Remote access SOHO applications
LED Managed Or Unmanaged Repeaters LXT915
“Personal” hubs
Stackable and stand alone workgroup hubs
Embedded applications for multi-port routers
and print servers
Dual Speed 10/100 Repeaters LXT9860/LXT9880
Stackable and stand alone workgroup hubs
Remote access SOHO applications
“Personal” hubs
Embedded applications for multi-port routers
and print servers
Backplane interconnect for central office
equipment (LXT9880AGE)
LXT9880AGE
LXT9863/LXT9883
Media Access Controllers
High-end switches IXF1110/IXF1010
Multi-service switches
High-end routers
Multi-service switches, router and aggregation IXF1104
platforms at the service provider and
enterprise edge targeting 4 GbE
WAN Access and Edge Aggregation systems.
DSLAM, CMTS, NG-DLC
Wireless Infrastructure such as BTS, BSC,
RNC, xGSN, PDSN
VPNs; firewall and intrusion detection systems
VoIP gateways and web switch appliances
OC-48 Layer 4–7 switches and routers
Four 10BASE-T ports Reversible AUI port Configuration/status
interface Four LED modes Cascadable backplane (no glue logic
required) Integrated filters Programmable squelch Intel Carrier
Class Ethernet support
Four 10BASE-T ports Simple AUI port Four LED modes
Cascadable backplane (no glue logic required) Integrated filters
Programmable squelch
Six- or eight-port 10/100 managed repeater with integrated twisted-
pair PHYs including integrated filters Two 10/100 MIIs for bridging
Independent segments for 10Mbps and 100Mbps operation Less
than 3.4W peak power, 3.3V operation Cascadable inter-repeater
backplanes (IRBs), with option for 5V stacking compatibility
Hardware assist for RMON and the repeater MIB High-speed SMI
Two address-tracking registers per port Source Address matching
function Integrated LED drivers with user-selectable modes
Available in 208-pin QFP package Commercial temperature range:
0°C–+70°C, ambient
Added Intel Carrier Class Ethernet support
Six- or eight-port 10/100 unmanaged repeater with integrated
twisted-pair PHYs including integrated filters Two 10/100 MIIs for
bridging Independent segments for 10Mbps and 100Mbps operation
Less than 3.4W peak power, 3.3V operation Cascadable inter-
repeater backplanes (IRBs), with option for 5V stacking compatibility
Integrated LED drivers with user-selectable modes Available in
208-pin QFP package Commercial temperature range: 0°C–+70°C,
ambient
Ten-port 100/1000Mbps or 1000Mbps for copper or fiber
connectivity SPI4-2 with dynamic phase alignment SPI4-2 supports
400MHz clock 32-bit microprocessor interface Internal 17.0 KB
receive FIFO and 4.5 KB transmit FIFO per channel Less than 6W
peak power 552 CBGA package Commercial temperature range:
0°C–+70°C, ambient
Quad Gigabit Ethernet MAC with SPI3 system interface and
interfaces for connectivity to Copper PHYs and Optic modules. •
Ability to power down individual channels SerDes physical interface
for fiber Extended Temp operation for Copper connectivity (-40°C to
+85ºC) 32-bit MPHY mode 4x8-bit SPHY mode • Flexible system
interfaces SPI-3 System Interface Allows for dedicated channel per
GbE port at 125 MHz GBIC/I2C* Controller Interface for optics
modules 10Kbyte transmit, 32Kbyte receive FIFOs
developer.intel.com/design/network Networking Products
77
APPLICATIONS PART NUMBER FEATURES
Ethernet Switching
Switching Engine IXE5416
16–24 port Gigabit Layer 2 workgroup switches
16–24 port Gigabit Layer 2/3/4 workgroup
switches
Daisy chain or Star stackable 10/100/1000
Layer 2/3/4 switches
High-port-count Layer 2/3/4 switch/router
solution suitable for stackable and chassis
switches (Can be incorporated into stacking
solutions with the Intel®Media Switch
IXE2424)
Cellular switching systems
Voice and data integration gateways
Edge routers
Packet-based video distribution systems
Switching Engine IXE5216
16–24 port Gigabit Layer 2 workgroup switches
Daisy chain or Star stackable 10/100/1000
Layer 2 switches
High-port-count Layer 2 switch solution suitable
for stackable and chassis switches (Can be
incorporated into stacking solutions with the
Intel Media Switch IXE2424)
Cellular switching systems
Voice and data integration gateways
Edge routers
Packet-based video distribution systems
Switching Engine IXE2424
24+4 Layer 2/3/4 workgroup and
enterprise switches
Cascadable high-port-count Layer 2/3/4
switch/router when using one or all Gigabit
ports for cascading
Layer 2/3/4 switch/router with Gigabit uplinks
and advanced bandwidth management
24+4 MPLS label edge/switch routers
Cellular switching systems
Voice and data integration gateways
Edge routers
Packet-based video distribution systems
IXE2424EE
Switching Engine IXE2426
24+4 Layer 2 workgroup and enterprise
switches with advanced bandwidth management
Cascadable high-port-count Layer 2 switches
when using one or all Gigabit ports for cascading
Cellular switching systems
Voice and data integration gateways
Packet-based video distribution systems
Single chip, 16-port Gigabit switch/router with Layer 2/3/4 support
Wire-speed performance across all ports in switching or IP routing
modes Advanced traffic prioritization, QoS and bandwidth
management Link aggregation Supports VLANs based on IEEE
802.1Q, ports, and protocol Advanced multicast, broadcast, and
filtering capabilities Integrated 10/100/1000 Ethernet MACs, packet
buffer memory and address look-up table
Single chip, 16-port Gigabit switch/router with Layer 2 support
Wire-speed performance across all ports Advanced traffic
prioritization and QoS Link aggregation Supports VLANs based
on IEEE 802.1Q, ports, and protocol Advanced multicast, broadcast,
and filtering capabilities Integrated 10/100/1000 Ethernet MACs,
packet buffer memory and address look-up table
Single chip, 24-port 10/100 and four-port Gigabit Ethernet Layer
2/3/4 switch/router Wire-speed performance across all ports in
switching or IP/MPLS routing modes Advanced traffic prioritization,
QoS, Diffserv, WRED, and bandwidth management Link
aggregation in any combination of up to eight ports per group
Hardware assistance for several L2 and L2/3/4 protocols Supports
VLANs based on IEEE 802.1Q, ports, and addresses Advanced
multicast, broadcast, and filtering capabilities Support for multiple
IP networks on a single port, and multiple ports on the same network
Connects to other devices using standard interfaces such as
SERDES/GMII, SMII, PCI, I2C and SSRAM
Same as IXE2424 Support for industrial temperature range
of -40°C to +85°C Intel Carrier Class Ethernet support
Single chip, 24-port 10/100 and four-port Gigabit Layer 2 Ethernet
switch Wire-speed performance across all ports in switching modes
Advanced traffic prioritization, QoS and bandwidth management
Link aggregation in any combination of up to eight ports per group
Hardware assistance for several L2 protocols Supports VLANs
based on IEEE 802.1Q, ports, tags, and addresses Low-cost mode
of operation Connects to other devices using standard interfaces such
as SERDES/GMII, SMII, PCI, I2C and SSRAM
Networking Products developer.intel.com/design/network
78
NETWORKING PRODUCTS LINE CARD
10MBPS
TRANSCEIVERS1
Product Ports Interface Power Package Special Features
LXT905 TP 7-pin MAC interface, 3.3V or 5V 28 PLCC 4 LED drivers, Intel®Carrier Class Ethernet support2
auto AUI/RJ-45 selection 32 LQFP
LXT907 TP & AUI 7-pin MAC interface, 5V 44 PLCC 4 LED drivers, SQE disable
auto AUI/RJ-45 selection
LXT907A TP & AUI 7-pin MAC interface, 3.3V 44 PLCC 4 LED drivers, SQE disable
auto AUI/RJ-45 selection 64 LQFP
LXT908 TP & AUI 7-pin MAC interface, 3.3V 44 PLCC 4 LED drivers, extended temperature, SQE disable
auto AUI/RJ-45 selection 64 LQFP
10/100MBPS
TRANSCEIVERS1
Product Ports Interface Power Package Special Features
LXT970A TP or fiber MII, MDIO/MDINT, 5V, 3.3V 64 TQFP 5 LED drivers, baseline wander correction
hardware control 64 PQFP
LXT971A TP or fiber MII, MDIO/MDINT 3.3V, 2.5V 64 PBGA 3 LED drivers, programmable LEDs, CDE protection, JTAG
boundary scan, baseline wander correction, Intel®Carrier Class
Ethernet support2
LXT972A TP MII, MDIO/MDINT, 3.3V, 2.5V 64 LQFP 3 LED drivers, programmable LEDs, CDE protection, JTAG
hardware control boundary scan, baseline wander correction, low power
consumption
LXT973 2 TP or fiber Independent MII for 2.5V 100 PQFP Auto MDI/MDIX, CDE tolerance, Intel Carrier Class Ethernet
each port support2
LXT9785 8 TP or fiber RMII/SMII/SS-SMII (2.5V/3.3V) 2.5V 241 PBGA Low power, auto MDI/MDIX, MDIO sectionalization,
208 PQFP Intel Carrier Class Ethernet support2
LXT9785E 8 TP or fiber RMII/SMII/SS-SMII (2.5V/3.3V) 2.5V 241 PBGA Enhanced IP telephony features, auto MDI/MDIX,
208 PQFP DTE discovery
NOTES
1All products offer Energy Saving Mode and support commercial temperature range (0ºC to 70ºC).
2Intel®Carrier Class Ethernet support includes: extended temperature range (-40ºC to 85ºC), extended lifetime (>10 years of operation), reliability (<100 failures per billion hours over operating life),
and extended availability (minimum of five years from product introduction)
10MBPS
REPEATERS
Product Ports Interface Power Package Special Features
LXT914 4 TP & 1 reversible AUI IRB, SMI, AUI 5V 68 PLCC 7 LED drivers, 4 LED modes, stackable, status and control,
100 PQFP Intel®Carrier Class Ethernet support2
LXT915 4 TP & 1 AUI IRB, AUI 5V 64 PQFP 7 LED drivers, 4 LED indication modes, stackable, unmanaged
10/100MBPS
REPEATERS
Product Ports Interface Power Package Special Features
LXT9860 6 TP 2 IRB (5V tolerant), SMI, 2 MII 3.3V 208 PQFP SNMP and RMON, stackable, 30 status LEDs, 3 direct drive LEDs
(4 selectable modes), 4 segment LEDs, 2 activity graph LEDs
LXT9863 6 TP 2 IRB (5V tolerant), 2 MII 3.3V 208 PQFP Unmanaged, stackable, 30 status LEDs, 3 direct drive LEDs
(4 selectable modes), 4 segment LEDs, 2 activity graph LEDs
LXT9880 8 TP 2 IRB (5V tolerant), SMI, 2 MII 3.3V 208 PQFP SNMP and RMON, stackable, 30 status LEDs, 3 direct drive LEDs
(4 selectable modes), 4 segment LEDs, 2 activity graph LEDs
LXT9880AGE 8 TP 2 IRB (5V tolerant), SMI, 2 MII 3.3V 208 PQFP SNMP and RMON, stackable, 30 status LEDs, 3 direct drive LEDs
(4 selectable modes), 4 segment LEDs, 2 activity graph LEDs,
Intel®Carrier Class Ethernet support2
LXT9883 8 TP 2 IRB (5V tolerant), 2 MII 3.3V 208 PQFP Unmanaged, stackable, 30 status LEDs, 3 direct drive LEDs
(4 selectable modes), 4 segment LEDs, 2 activity graph LEDs
developer.intel.com/design/network Networking Products
79
100MBPS & 10000MBPS
MEDIA ACCESS CONTROLLERS
Product Ports Interface Power Package Special Features
IXF1110 10 fiber SPI4-2, GBIC/SerDes 1.8V, 2.5V 552 CBGA SPI4-2 with dynamic phase alignment, double data clock,
receive FIFO, cost-effective memory architecture
IXF1010 10 TP SPI4-2, RGMII 1.8V, 2.5V 552 CBGA SPI4-2 with dynamic phase alignment, double data clock,
receive FIFO, cost-effective memory architecture
INTEL®
MEDIA SWITCH
Product Ports Interface Power Package Special Features
IXE5416 16 TP or fiber GMII, TBI, MII 2.5V 836 EBGA 16-port Gigabit switching/routing, traffic
3.3V classification, QoS, filtering, prioritization
IXE5216 16 TP or fiber GMII, TBI, MII 2.5V 836 EBGA 16-port Gigabit switching, traffic classification, QoS,
3.3V filtering, prioritization
IXE2424 24/4 TP SERDES/GMII, SMII 1.8V 792 TBGA 24-port 10/100, four-port Gigabit, Layer 2/3/4
IXE2424EE or fiber PCI, I2C, SSRAM 3.3V switching/routing, integrated 10/100 and Gigabit
MACs, MPLS, Diffserv, WRED, QoS, VLAN compliance,
Intel®Carrier Class Ethernet support2(IXE2424EE)
IXE2426 24/4 TP SERDES/GMII, SMII, 1.8V 792 TBGA 24-port 10/100, four-port Gigabit, Layer 2 switching,
or fiber PCI, I2C, SSRAM 3.3V integrated 10/100 and Gigabit MACs, VLAN compliance, QoS
NOTES
1All products offer Energy Saving Mode and support commercial temperature range (0ºC to 70ºC).
2Intel®Carrier Class Ethernet support includes: extended temperature range (-40ºC to 85ºC), extended lifetime (>10 years of operation), reliability (<100 failures per billion hours over operating life), and extended
availability (minimum of five years from product introduction)
KEY TERMS
AUI Attached Unit Interface RMII Reduced Media Independent Interface
CDE Cable Discharge Event RMON Remote Monitoring
FX Fiber Media SMI Serial Management Interface
GMII Gigabit Media Independent Interface SMII Serial Media Independent Interface
IRB Inter-Repeater Backplane SNMP Simple Network Management Protocol
MAC Media Access Controller TBI Ten-Bit Interface
MII Media Independent Interface TDR Time Domain Reflectometry
PCI Peripheral Components Interconnect TP Twisted Pair
PHY OSI Physical Layer device
Networking Products developer.intel.com/design/network
80
developer.intel.com Advanced Ethernet Controllers
81
INTEL’S 10/100/1000 ETHERNET CONTROLLERS (MAC/PHY)
Intel®82541PI Gigabit Ethernet Controller
The Intel®82541PI Gigabit Ethernet Controller provides optimized Gigabit networking for PCI designs. This highly
efficient controller, with enhanced power management, consumes less than 1.0W of power at Gigabit speeds. When no
signal is detected on the wire, the controller reduces power consumption by switching to 100 or 10 and powering down the
physical-layer circuitry (PHY). When a signal is detected, the controller automatically negotiates the connection to Gigabit,
if available.
The Intel 82541PI Gigabit Ethernet Controller enhances secure manageability and system health monitoring over the LAN
with support for IPMI 1.5. For IPMI designs, the onboard SMBus port can pass management traffic through the controller to
a management device, such as a Baseboard Management Controller (BMC).
The Intel 82541PI combines Intel’s fifth-generation Gigabit MAC design with fully integrated PHY to provide a
standard IEEE 802.3 Ethernet interface for 1000BASE-T, 100BASE-TX and 10BASE-T applications. In addition, the
controller provides a direct Peripheral Component (PCI) Interconnect designed to be compliant with the PCI 2.3 bus up
to 66MHz. Packaged in a 15x15 mm PBGA, the Intel 82541PI Gigabit Ethernet Controller is footprint-compatible with
the Intel®82551QM and Intel®82551ER Fast Ethernet Controller and Intel®82562EZ device. Footprint-compatibility,
plus Intel®SingleDrivertechnology allow for a flexible Gigabit Ethernet or Fast Ethernet implementation on the same
motherboard layout.
Intel®82541ER Gigabit Ethernet Controller
The Intel®82541ER Gigabit Ethernet Controller provides optimized Gigabit networking for PCI designs. This highly
efficient controller, with enhanced power management, consumes less than 1.0W of power at Gigabit speeds. When no
signal is detected on the wire, the controller reduces power consumption by switching to 100 or 10 and powering down the
physical-layer circuitry (PHY). When a signal is detected, the controller automatically negotiates the connection to Gigabit,
if available.
The Intel 82541ER combines Intel’s fifth-generation Gigabit MAC design with fully integrated PHY to provide a standard
IEEE 802.3 Ethernet interface for 1000BASE-T, 100BASE-TX and 10BASE-T applications. In addition, the controller
provides a direct Peripheral Component (PCI) Interconnect designed to be compliant with the PCI 2.3 bus up to 66MHz.
Packaged in a 15x15 mm PBGA, the Intel 82541ER Gigabit Ethernet Controller is footprint-compatible with the Intel
82551ER and Intel 82562EZ device. Footprint-compatibility allows for a flexible Gigabit Ethernet or Fast Ethernet
implementation on the same motherboard layout.
Intel®82545GM Gigabit Ethernet Controller
The Intel®82545GM Gigabit Ethernet Controllers are single, compact components with integrated Gigabit Ethernet MAC
and PHY layer functions. Packaged in a 21x21 mm TFBGA, the 82545GM Gigabit Ethernet controller is footprint-
compatible with the Intel®82546GB Dual Port Gigabit Ethernet Controller (same package size, same number and pattern
of pins and similar signal layout), allowing for a flexible, single port or dual port, multipurpose design.
The Intel 82545GM integrates Intel’s fourth-generation Gigabit MAC design with fully integrated, physical-layer circuitry
to provide a standard IEEE 802.3 Ethernet interface for 1000Base-T, 100Base-TX, and 10Base-T applications (802.3, 802.3u,
802.3ab). The controller is capable of transmitting and receiving data at 1000 Mb/s, 100 Mb/s, or 10 Mb/s data rates. For
fiber-optic applications, the Intel 82545GM’s integrated SERDES supports 1000Base-SX and 1000Base-LX (802.3z). In
addition, the controller provides a direct Peripheral Component Interconnect (PCI) 2.2 and PCI-X 1.0a-compliant bus at
clock frequencies up to 133 MHz.
Advanced Ethernet Controllers—PCI Based
Advanced Ethernet Controllers developer.intel.com
82
The Intel 82545GM Gigabit Ethernet Controller architecture is optimized to deliver both high performance and PCI/PCI-X
bus efficiency. Using state logic design with a pipelined DMA Unit and 128 bit wide buses for the fastest performance, the
Intel 82545GM controller handles Gigabit Ethernet traffic with low network latency and minimal internal processing
overhead. The controller’s architecture includes independent transmit and receive queues to limit PCI bus traffic, and a PCI
interface that maximizes the use of bursts for efficient bus usage. The Intel 82545GM Gigabit Ethernet Controller prefetches
up to 64 packet descriptors in a single burst for efficient PCI bandwidth usage. A 64 KB on-chip packet buffer maintains
superior performance as available PCI bandwidth changes. Advanced interrupt moderation hardware manages interrupts
generated by the Intel 82545GM controller to further improve system efficiency. In addition, using hardware acceleration, the
controller also offloads tasks from the host processor, such as TCP/UDP/IP checksum calculations and TCP segmentation.
The Intel 82545GM Gigabit Ethernet Controller is designed for use in the following applications:
LAN on Motherboard (LOM) in dense, space-constrained systems such as rack-mounted servers and high-density
blade servers
Communications platform using dual Gigabit Ethernet on the backplane (PICMG 3.1 compliant or 1000BASE-X)
Internet infrastructure devices with high-speed requirements and limited board real estate, such as switches, routers
and load balancers
Intel®82546GB Dual Port Gigabit Ethernet Controller
The Intel 82546GB Dual Port Gigabit Ethernet Controller incorporates two full Gigabit Ethernet MAC and PHY layer
functions and Serializer/ Deserializer (SerDes) on a single, compact component. Packaged in a 21x21 mm PBGA, the
82546GB Dual Port Gigabit Ethernet Controller provides dual port functionality without requiring additional board space
for the component.
The Intel 82546GB integrates Intel’s fourth-generation Gigabit MAC design, with fully integrated, physical-layer
circuitry, to provide two standard IEEE 802.3 Ethernet interfaces for 1000BASE-T, 100BASE-TX, and 10BASE-T
applications (802.3, 802.3u, 802.3ab). For Ethernet on the backplane and fiber-optic applications, the Intel 82546GB’s
two integrated SerDes support 1000BASE-X (802.3z). In addition, the controller provides a single, direct Peripheral
Component Interconnect (PCI) 2.3 and PCI-X 1.0a-compliant bus that operates as a single multi-function device on the
bus at clock frequencies up to 133MHz.
The Intel 82546GB Gigabit Ethernet Controller architecture is optimized to deliver both high-performance networking and
PCI/PCI-X bus efficiency. Using state logic design with a pipelined DMA Unit and 128-bit-wide buses for the fastest
performance, the 82546GB controller handles Gigabit Ethernet traffic with low network latency and minimal internal
processing overhead. The controller’s architecture includes independent transmit and receive queues to limit PCI bus traffic,
and a PCI interface that maximizes the use of bursts for efficient bus usage. The Intel 82546GB Gigabit Ethernet Controller
prefetches up to 64 packet descriptors in a single burst for efficient PCI bandwidth usage. Two 64KB on-chip packet buffers
maintain superior performance as available PCI bandwidth changes. Advanced interrupt moderation hardware manages
interrupts generated by the 82546GB controller to further improve system efficiency. In addition, using hardware
acceleration, the controller also offloads tasks from the host processor, such as TCP/UDP/IP checksum calculations and TCP
segmentation.
The Intel 82546GB Gigabit Ethernet Controller is designed for use in the following applications:
LAN on Motherboard (LOM) in dense, space-constrained systems such as rack-mounted servers and high-density
blade servers
Communications platform using dual Gigabit Ethernet on the backplane (PICMG 3.1 compliant or 1000BASE-X)
Internet infrastructure devices with high-speed requirements and limited board real estate, such as switches, routers
and load balancers
developer.intel.com Advanced Ethernet Controllers
83
Intel®82547GI Gigabit Ethernet Controller
The Intel®82547GI Gigabit Ethernet Controller enables full-duplex Gigabit Ethernet performance using the
Communication Streaming Architecture (CSA). The Intel 82547GI Gigabit Ethernet Controller bypasses the PCI bus,
freeing its bandwidth for other I/O operations, and connects to the dedicated CSA bus on the Memory Control Hubs (MCH)
of Intel®865 and Intel®875 chipsets for the Intel®Pentium®4 processor. The CSA port architecture is invisible to both system
software and the operating system, allowing conventional “PCI-like” configuration. CSA offers lower memory latency and
higher performance than PCI-based controllers, giving the end user a true Gigabit networking experience.
The Intel 82547GI Gigabit Ethernet Controller enhances secure manageability and system health monitoring over the LAN
with support for IPMI 1.5. For IPMI designs, the onboard SMBus port can pass management traffic through the controller to
a management device, such as a Baseboard Management Controller (BMC) responsible for management functions.
The Intel 82547GI combines Intel’s fifth-generation Gigabit MAC design with fully integrated physical-layer circuitry
(PHY) to provide a standard IEEE 802.3 Ethernet interface for 1000BASE-T, 100BASE-TX and 10BASE-T applications.
Packaged in a 15x15 mm PBGA, the Intel 82547GI Gigabit Ethernet Controller is footprint-compatible with the Intel
82562EZ device. Footprint-compatibility and Intel SingleDriver technology allow for a flexible Gigabit Ethernet or Fast
Ethernet implementation on the same motherboard layout.
INTEL’S 10/100 ETHERNET CONTROLLERS (MAC/PHY)
Intel®82551QM Integrated Fast Ethernet Controller
The Intel®82551QM Fast Ethernet Multi-function PCI/Cardbus Controller is an evolutionary addition to Intel’s family of
Intel®8255X controllers. It provides excellent performance by offloading TCP, UDP and IP checksums and supports
TCP segmentation off-load for operations such as Large Send. It combines a low-power and small package design, which is
ideal for power and space-constrained environments. Intel 82551QM enhancements over the Intel®82559 include improved
Bit Error Rate performance, Deep Power-down state power reductions. The Intel 82551QM is pin-compatible with the Intel®
82550 and Intel 82559 Fast Ethernet controllers, and layout-compatible with 82541 Gigabit Ethernet controllers.
Intel®82551ER/IT Integrated 10Base-T/100BASE-TX Ethernet Controllers
The Intel®82551ER integrated 10Base-T/100Base-TX Ethernet Controller is an evolutionary addition to Intel’s family of
8255X controllers. As part of Intel’s fourth generation of fully integrated Fast Ethernet MAC/PHY solutions, the Intel
82551ER is optimized for low-cost, embedded applications. An extended temperature version of the 82551ER product is also
available, the Intel®82551IT which supports an identical feature set and footprint as the 82551ER.
The Intel 82551ER provides excellent performance by offloading TCP, UDP and IP checksums. Its optimized 32-bit
interface and efficient scatter-gather bus mastering capabilities enable the Intel 82551ER to perform high-speed data transfers
over the PCI bus. This capability accelerates the processing of high-level commands and operations, which lowers CPU
utilization. Its architecture enables data to flow efficiently from the bus interface unit to the 3 Kbyte transmit and receive
FIFOs, providing the perfect balance between the wire and system bus. In addition, multiple priority queues are provided to
augment Quality of Service performance.
The Intel 82551ER is pin-compatible with the Intel®82559ER Fast Ethernet controller, and layout-compatible with the
Intel®82540 and 82541 Gigabit Ethernet controllers. Intel supported Intel 82551ER drivers run on the standard Intel
82551QM, providing OEMs an upgrade path to the Intel 82551QM for additional features and increased functionality.
Advanced Ethernet Controllers developer.intel.com
84
Intel®82562ET and Intel®82562EZ 10Base-T/100Base-TX Platform LAN Connect Solution
The Intel®82562ET and Intel®82562EZ are highly integrated Platform LAN Connect (PLC) devices combining 10Base-T
and 100Base-TX physical layer capabilities, and providing a core ingredient of the enabling solution for the integrated
networking connectivity in Intel®I/O Controller Hub (ICH2/3/4/5) based platforms. The Intel 82562ET and Intel 82562EZ
support a single interface fully compliant with the IEEE 802.3/802.3u standard. The IEEE 802.3u standard for 100Base-TX
defines networking over two pairs of Category 5 unshielded twisted pair cable. The Intel®82562ET/EZ complies with the
IEEE 802.3u Auto-Negotiation (and 100Base-TX) standard and the IEEE 802.3x Full-Duplex Flow Control standard.
The ICH2/3/4/5/6 LAN solution that the Intel 82562ET/EZ enables is a 32-bit PCI device that features enhanced scatter-
gather bus mastering capabilities which allows the LAN solution to perform high-speed data transfers over the PCI bus.
The bus mastering capabilities enable the LAN solution to process high-level commands and perform multiple operations,
thereby offloading communications tasks from the system CPU. Two large transmit and receive FIFOs are also included in
the architecture to enhance performance while minimizing the use of system resources. Target applications include but are
not limited to LAN on motherboard (LOM) and embedded designs targeted at the value segment and requiring reliable
10/100 LAN capabilities.
INTEL®ETHERNET CONTROLLER LINE CARD
MAT’L. MASTER BUS INTERFACE OPERATING POWER STANDBY PACKAGE-
PRODUCT FUNCTION PART NUMBER BUS SPEED PCI BUS TEMP. VOLTAGE DISSIPATION POWER MODE PINS
10/100/1000 ETHERNET CONTROLLERS
82547GI Single port integrated 855106 CSA n/a n/a 0°C–+70°C 1.2, 1.8, 3.3V ~1.0W 105mA @ 3.3V 196 PBGA
MAC/PHY
82541PI Single port integrated 857520 PCI 33/66 MHz 32 bit 0°C–+70°C 1.2, 1.8, 3.3V ~1.0W 45mA @ 3.3V 196 PBGA
MAC/PHY
82541ER Single port integrated 858265 PCI 33/66 MHz 32 bit 0°C–+70°C 1.2, 1.8, 3.3V ~1.0W 45mA @ 3.3V 196 PBGA
MAC/PHY
82546EB Dual port integrated 845635 PCI-X/PCI 33/66/133 MHz 32/64 bit 0°C–+55°C 1.5, 2.5, 3.3V ~2.6W 220mA @ 3.3V 364 PBGA
MAC/PHY/SerDes
82546GB Dual port integrated 855352 PCI-X/PCI 33/66/133 MHz 32/64 bit 0°C–+55°C 1.5, 2.5, 3.3V ~2.6W 220mA @ 3.3V 364 PBGA
MAC/PHY/SerDes
82545EM Single port integrated 845633 PCI-X/PCI 33/66/133 MHz 32/64 bit 0°C–+70°C 1.5, 2.5, 3.3V ~1.8W 170mA @ 3.3V 364 TFBGA
MAC/PHY/SerDes
82545GM Single port integrated 855561 PCI-X/PCI 33/66/133 MHz 32/64 bit 0°C–+70°C 1.5, 2.5, 3.3V ~1.5W 125mA @ 3.3V 364 TFBGA
MAC/PHY/SerDes
82540EM Integrated MAC/PHY 845194 PCI 33/66 MHz 32 bit 0°C–+70°C 1.5, 2.5, 3.3V ~1.4W 120mA @ 3.3V 196 TFBGA
10/100 ETHERNET CONTROLLERS
82551QM Integrated MAC/PHY 844662 PCI 33 MHz 32 bit 0°C–+85°C 3.3V ~0.5W n/a 196 PBGA
82551ER Integrated MAC/PHY 844687 PCI 33 MHz 32 bit 0°C–+85°C 3.3V ~0.5W n/a 196 PBGA
82551IT Integrated MAC/PHY 855622 PCI 33 MHz 32 bit -40°C–+85°C 3.3V ~0.5W n/a 196 PBGA
82559 Integrated MAC/PHY 822772 PCI 33 MHz 32 bit 0°C–+70°C 3.3V ~0.6W n/a 196 PBGA
82559ER Integrated MAC/PHY 825111 PCI 33 MHz 32 bit 0°C–+70°C 3.3V ~0.6W n/a 196 PBGA
82559ER* Integrated MAC/PHY 826238 PCI 33 MHz 32 bit -25°C–+85°C 3.3V ~0.6W n/a 196 PBGA
10/100 ETHERNET PLATFORM LAN CONNECT (PLC) DEVICES
82562ET PLC for ICH2/3/4/5 829706 PLC n/a n/a 0°C–+70°C 3.3V ~0.5W n/a 48 SSOP
82562EZ PLC for ICH2/3/4/5 PLC n/a n/a 0°C–+70°C 3.3V ~0.5W n/a 196 PBGA
* expanded temperature version (-25°C–+85°C)
developer.intel.com/design/network Optical Products
85
PRODUCT OVERVIEW
Intel enables development of a wide range of Optical solutions that help meet demands of carrier equipment manufacturers
to accelerate services deployment, reduce cost and ease migration to higher bandwidth. Intel incorporates leading-edge
technologies and delivers them through a well-demonstrated, high-volume, low-cost manufacturing.
Electrical to Optical Conversion (E/O)
The optical transmission system converts the electrical signal into an optical signal. The quality of the transmitted optical
signal (i.e., the maximum transmission distance) is highly dependent on the jitter of the serial bit stream. The jitter is the
phase noise most commonly caused by the uncertainty or variations in the bit periods. To resolve this, Intel has directed
significant effort toward the system and component design, focused at maintaining precise, constant duration of the bit
periods in the outgoing data stream.
Framer/Media Access Controller (MAC)
ASONET/SDH Framer allows for SONET/SDH frame transport by encapsulating electrical data streams into packets or
frames, and adding a header with section, line and path overhead bytes. The device can map different kinds of payloads
such as ATM, IP, Voice, and Ethernet into the synchronous SONET/SDH frame.
Forward Error Correction (FEC)/Digital Wrapping
AForward Error Correction (FEC) transponder device provides coding and decoding functionality for 10Gbps fiber
optical transmission, based on the out-of-band FEC scheme recommended by ITU-T G.975. At each receiver, instead
of passing on incorrect data, an FEC module recovers the original data and corrects the error. A clean generation of client data
travels to the next receiver and the process is repeated. FEC helps to ensure data accuracy across the network and improve
network reliability at the highest possible transfer speed over longer distances.
An Optical Digital Wrapper device covers additional functions defined in ITU-T G.709 recommendation, it enables Optical
Transport Network (OTN) functionalities and supports synchronous as well as asynchronous mapping schemes.
Clock and Data Recovery (CDR)
AClock and Data Recovery (CDR) device converts the analog input signal to a digital bit stream with an associated clock.
The clock output from the CDR is used to clock the data on the parallel interface into the next device. The key function
block in the CDR is the Phase Locked Loop (PLL), which locks onto the incoming data stream. The phase detector is
equipped with a discriminator that evaluates the incoming data signal in the middle of the bit period (the “eye”) and
determines whether a 1 or a 0 is received. A separate lock detector determines whether the incoming data rate deviates too
much from a given frequency. If data input is absent or deviates too much, the external reference clock ensures that the
Voltage Controlled Oscillator (VCO) remains in a selectable ±500 to ±2,000 ppm capture range.
Optical Products
FEC
WRAP
CDR
SerDes
Framer
MAC
Laser
Photodiode
Driver
LIA TIA
OpticsTransport Physical Layer (PHY)
Electrical
(to “client”)
Optical
(to “system”)
Optical Transceiver
Optical Products developer.intel.com/design/network
86
Serializer/Deserializer (SerDes)
The Deserializer or Demultiplexer (DeMUX) has the purpose of transforming the serial data signal into four parallel data
signals at a corresponding lower data rate. If, for example, a 2.488Gbps signal (OC-48) is fed into a 1:4 DeMUX, it will
produce four parallel data outputs at 622.08Mbps. This signal then interfaces to the digital processing system. Notice that
most of Intel’s DeMUX have an integrated Clock and Data Recovery (CDR) device meeting the market segments
requirement of small form factor solutions.
In the optical transmission system, the Serializer or Multiplexer (MUX) has the opposite function of the DeMUX. The
MUX converts the parallel signal from the processing system into one serial bit stream at a corresponding higher data rate.
If, for example, 16 parallel data inputs at 622.08Mbps are led into a 16:1 MUX, the output data will be at 9.95328Gbps
(OC-192). The serial signal is amplified before it is passed on to the next building block.
Optical to Electrical Conversion (O/E)
An optical reception system receives an optical signal and converts it into an electrical signal. The optical receiver can be
either a photodiode or an Avalanche Photo Detector (APD) and it converts the optical input to a small electrical current.
Transimpedance Amplifier (TIA)
ATransimpedance Amplifier (TIA) is placed right after the O/E transducer. It receives the output current from the
photodiode and converts it into an electrical voltage. The TIA signal, which varies from a few mV up to 50 mVpp or
more, can then be passed to an Automatic Gain Controlled (AGC) amplifier or a Limiting Amplifier (LIA).
Limiting Amplifier (LIA)
ALimiting Amplifier (LIA) or an Automatic Gain Control amplifier (AGC) follows the TIA. The LIA and AGC have the
function of obtaining a signal of sufficient amplitude/power to drive the next building block.
Laser Diode Driver (LDD)
The Laser Diode Driver (LDD) provides the opposite function of the Transimpedance Amplifier (TIA). The LDD converts
the electrical voltage serial signal received from the Multiplexer (MUX) into an electrical current with strictly controlled
amplitude.
Optical Transceiver
Intel®Optical transceivers are subsystems comprised of high-speed optical to electrical conversion, which offers networking
OEMs Multi-Source Agreement (MSA)-compliant turnkey solutions for OC-192 and 10GbE interfaces. Potential
applications include: Optical switches and routers, add/drop multiplexers, digital cross-connects, Dense Wavelength Division
Multiplexing (DWDM) terminals, other WDM and non-WDM metro system equipment, and optical test equipment.
10Gbps Framer/MAC/Digital Wrapper
WB4500 SONET/SDH Virtual Concatenation Multi-Protocol OC-48/STM-16,
OC-12/STM-4, and OC-3/STM-1 Data Framer
With up to 48 separate logical channels, the Intel®WB4500 SONET/SDH virtual concatenation framer is designed for
use in highly channelized telecom and datacom applications in metropolitan area networks and wide area networks.
Typical applications include Ethernet over SONET/SDH, RPR, ATM, POS, LAPS, and TDM. This includes systems such as
MSPPs, routers, switches, access concentrators, ADMs, and dense wave division multiplexing (DWDM) systems.
There are two system interfaces shown in the Intel WB4500 high-level block diagram: the SPI-3 bus and Telecom
Add/Drop bus—combined with a time slot interchange the device can support concurrent TDM and data services in one
component.
developer.intel.com/design/network Optical Products
87
The line side auxiliary telecom bus can be used to connect low-cost external framers for up 16 OC-3/STM-1 ports. It can
also be used as a mate interface to connect to another Intel WB4500 device enabling a variety of options to solve APS design
problems: 1+1, 1:N, BLSR/MS_SPRing, and UPSR/SNCP.
An example of a complete SONET/SDH system solution based on the Intel WB4500 is shown in the Complete
SONET/SDH Solution diagram. The telecom bus add/drop interface is used to connect to a T1/E1 module. The SPI-3
interface connects to an Ethernet services module. On the line side, the auxiliary telecom bus connects to an external framer
for a cost effective 1+1 APS solution.
IXF19301/19303/19325 Bandwidth Aggregation and Channelizer Devices with Virtual Concatenation
Intel®IXF19301/19303/19325 Bandwidth Aggregation and Channelizer Devices with Virtual Concatenation family of
service framer products are highly integrated interface solutions for the transport of multi-service traffic. The various
devices are tailored for 10G, 5G and 2.5G applications with or without line and path protection applications.
Intel IXF19301/19303/19325 supports Packet Over SONET (POS), Generic Framing Procedure (GFP), X.85, X.86, Link
Access Procedure-SDH (LAPS) and Asynchronous Transfer Mode (ATM) data mapping for up to 64 SONET/SDH virtually
or contiguously concatenated containers. The devices support the SPI4 Phase 2 System Interface for easy connection to
multi-port MACs, Field Programmable Gate Arrays (FPGAs), or Network Processors.
Intel IXF19301/19303/19325 devices perform section/line overhead termination by implementing a cross-connect allowing
the non-blocking transfer of non-concatenated and concatenated payloads between the data and line interfaces. The devices
manage both VC-3 to AU-3 and VC-3 to TU-3 container mapping options for flexible SDH configurations.
IXF19301: STS-192/STM-64, 10GbE LAN/WAN, x4 STS-48/STM-16, x16 STS-12/STM-4 and STS-3/STM-1 working
interface and STS-192/STM-64, x4 STS-48/STM-16 protection interface
IXF19303: STS-192/STM-64, x4 STS-48/STM-16, 10GbE LAN/WAN, x16 STS-12/STM-4 and STS-3/STM-1
unprotected, or x2 STS-48/STM-16, x8 STS-12/STM-4 and STS-3/STM-1 protected (1+1; 1:1)
IXF19325: x2 STS-48/STM-16, x8 STS-12/STM-4 and STS-3/STM-1 unprotected, or x1 STS-48/STM-16,
x4 STS-12/STM-4 and STS-3/STM-1 protected (1+1; 1:1)
IXF19302 10Gbps Bandwidth Aggregation and Channelizer Device
The Intel®IXF19302 10-Gbps Bandwidth Aggregation and Channelizer Device service framer is a highly integrated
interface solution for the transport of multi-service traffic. The device supports a single STS-192/STM-64, 4 STS-48/
STM-16, 16 STS-12/STM-4, 16 STS-3/STM-1 or combination of these via four distinct ports per interface. Intel IXF19302
also provides an aggregation/protection interface for single STS-192/STM-64 or quad STS-48/STM-16. The integrated
10 Gigabit Ethernet Media Access Controller (MAC) allows Wide Area Network (WAN) and Local Area Network (LAN)
operations. It supports concatenated and non-concatenated payloads STS-1, STS-Xc (where X = 3, 6, 9, …, 48) and
STS-192c.
Intel IXF19302 supports the mapping/demapping of POS, GFP, X.85, X.86, LAPS and ATM data for up to
64 SONET/SDH virtually or contiguously concatenated payloads/containers. The packets and cells that are mapped/demapped
to/from these containers are transferred to/from the next packet device using an SPI-4 Phase 2 system interface. Intel
IXF19302 performs section/line OH termination on both interfaces. It also supports higher order VC-4/STS-3 and lower
order VC-3/STS-1 path termination on the mapped/demapped SPE/container.
The Intel IXF19302 implements a cross-connect allowing the non-blocking transfer of non-concatenated and concatenated
payloads between the Data and Line interfaces. Work and Protect Framers supports Multicast, Add/Drop or configurable for
any port to any port protection.
Optical Products developer.intel.com/design/network
88
IXF18101 10Gbps Physical Layer Device for STS-192c/STM 64c POS/GFP and 10 Gigabit Ethernet LAN or WAN PHY
The Intel®IXF18101 is the flagship device in the footprint and register set-compatible IXF1810x family of 10 Gigabit
Physical Layer devices.
The IXF18101 is a highly integrated solution for STS-192c/STM 64c and 10 Gigabit Ethernet LAN/WAN port
applications, as specified in IEEE 802.3ae. The IXF18101 supports various modes of operation for transport of 10 Gigabit
Ethernet, High Level Data Link Control (HDLC) frames, Packet over SONET (POS), or Generic Framing Procedure (GFP)
packet formatting. Internal mapping engines provide the required formatting and maintenance of packet data into the
STS-192c/STM 64c SONET/SDH frame payload. The device also supports Automatic Protection Switching (APS) in OC192
and 10GbE WAN Modes. A data-over-fiber packet mapping mode is supported for complete flexibility that can be used for
test equipment, test functionality verification within a system, or direct data to line mapping.
The GFP mappings are per G. 7041 and can be used for transport of multiplexed data flows of Gigabit Ethernet, FICON,
ESCON, Fiber Channel and other protocols.
The 10 Gigabit MAC handles frame encapsulation, verification, flow control, and Remote Monitoring/Simple Network
Management Protocol (RMON/SNMP) statistics management, per IEEE 802.3ae standards. The IXF18101 also handles the
Physical Coding Sublayer (PCS) and WAN Interface Sublayer (WIS) functions of the 802.3ae 10 Gigabit Ethernet standard.
The PCS hardware handles the 64B/66B encoding/decoding to provide the transition density and balance the 10.3125Gbps
stream. The WAN interface sublayer provides the rate matching mechanism for 9.953Gbps rate transport, as well as the
STS-192c/STM 64c framing structure used in WAN PHY applications. The GFP mapping engine can be connected directly
to Forward Error Correction (FEC) or Optical Transport Networks (OTN) digital wrapper devices for GFP client mapping
directly per G.709.
On the System side, it supports the System Parallel Interface-level 4 (SPI-4) Phase 2. On the line side, the IXF18101
supports both the OIF SFI-4 and IEEE 802.3ae XSBI interfaces. An integrated Pseudo Random Bit Sequence (PRBS) packet
generator/analyzer for the PCS and WIS blocks (per IEEE 802.3ae clause 49 and 50) is also supported. Various loopback
modes for line, system and debug features are provided in the device.
The Intel IXF18101 offers a powerful solution for a variety of 10Gbps line card applications for Core and Metro
Routers, Enterprise Routers and Switches, MSPPs, Storage Area Applications, Metro Transport and Dense Wavelength
Division Multiplexing (DWDM). The flexibility built into the Intel IXF18101 gives customers the ability to design a
software-reconfigurable line card that will support any of the protocols above. Intel provides an Evaluation and
Development platform to assist customers with the development of their line cards. And for their Software development,
we offer a complete Development platform that includes an Application Program Interface (API) management software,
Drivers and Documentation.
IXF18102 10Gbps Physical Layer Device for STS-192c/STM 64c POS/GFP
The Intel®IXF18102 offers a feature, power and cost optimized solution for STS-192c/STM 64c line card applications for
Core and Metro Routers, Enterprise Routers and Switches, MSPPs, Storage Area Applications, Metro Transport and
Dense Wavelength Division Multiplexer (DWDM). The Intel IXF18102 is a highly integrated framer solution for STS-192c/
STM 64c port applications. The IXF18102 supports various modes of operation for transport of High Level Data Link
Control (HDLC) frames, Packet over SONET (POS), or Generic Framing Procedure (GFP) packet formatting. Internal
mapping engines provide the required formatting and packet data maintenance into the STS-192c/STM 64c SONET/SDH
frame payload. A data-over-fiber packet mapping mode is supported for test equipment and test functionality verification
within a system or direct data over fiber applications.
The GFP mapping engine can be connected directly to Forward Error Correction (FEC) or Optical Transport Networks
(OTN) digital wrapper devices for GFP client mapping over G.709 OTN Networks. The system interface is 16 bits wide,
features 622Mbps–800Mbps Double Data Rate (DDR) clocking and supports the industry-standard System Parallel Interface
Level 4 (SPI-4) Phase 2. The SPI-4 Phase 2 interface is Low Voltage Differential Signaling (LVDS), which produces fewer
connection concerns than previous 64-bit High-Speed Transport Layer (HSTL) interfaces.
developer.intel.com/design/network Optical Products
89
On the line side, the IXF18102 supports the OIF SerDes Framer Interface Level 4 (SFI-4) interface, which is 16 bits wide
with 622Mbps data rate. The IXF18102 supports Automatic Protection Switching (APS) for SONET/SDH. Various types of
loopbacks such as line remote, line local, system remote as well as system local and Synchronous Payload Envelope (SPE)
payload test are supported for general development functionality test and debug.
It is footprint and register set compatible with the IXF18101 device, and therefore offers a feature, cost and power
optimized migration path for customers line cards. Since the devices are footprint and register set compatible, customers IP
in terms of Software, drivers, and more are protected and can be reused.
For Design development assistance, we offer Hardware Evaluation and Software Development platforms.
IXF18103 10-Gigabit Ethernet LAN or WAN PHY
The Intel®IXF18103 is the third device in the IXF1810x family of 10Gbps Physical Layer Devices.
The IXF18103 is a highly integrated solution for 10GbE Local Area Network (LAN) and Wide Area Network (WAN)
port applications compliant as per IEEE802.3ae specifications. The IXF18103 supports 10GbE LAN (10.3125Gbps) and
WAN (9.953Gbps) applications.
The 10 Gigabit MAC (per IEEE 802.3ae) handles frame encapsulation, verification, 10GbE flow control, and Remote
Monitoring/Simple Network Management Protocol (RMON/SNMP) statistics management. The IXF18103 also handles the
Physical Coding Sublayer (PCS) and WAN Interface Sublayer (WIS) functions of the IEEE 802.3ae 10 Gigabit Ethernet
standard. The PCS hardware handles the 64B/66B encoding/decoding to provide the transition density and balance of the
10.3125Gbps stream. The WIS provides the rate matching mechanism for 9.953Gbps rate transport, as well as the STS-
192c/STM 64c framing structure used in WAN PHY applications.
The system interface supports the industry-standard System Parallel Interface Level 4 (SPI-4) Phase 2. This interface is
16 bits wide with 622Mbps–800Mbps Double Data Rate (DDR) clocking. The SPI-4 Phase 2 interface is Low Voltage
Differential Signaling (LVDS), which produces fewer connection concerns than previous 64-bit High-Speed Transport Layer
(HSTL) interfaces.
On the line side, the IXF18103 supports the IEEE 802.3ae XSBI interfaces. The 16-bit LVDS interface can operate at
622Mbps to support the 10GbE WAN rate of 9.953Gbps, and at 644Mbps to support the 10GbE LAN rate of 10.3125Gbps.
The IXF18103 also supports an integrated Pseudo Random Bit Sequence (PRBS) packet generator/analyzer for the PCS
and WIS blocks per IEEE 802.3ae clause 49 and 50. Line remote, line local, system remote, and system local loopbacks
are also supported, as well as Synchronous Payload Envelope (SPE) payload test loopbacks for general development
functionality test and debug.
The IXF18103 comes with Evaluation and Software Development platforms to assist customers in the development of
their line card solutions. Since it is optimized for 10GbE applications, it gives customers the advantage of being able to build
cost-optimized, feature rich line cards for these applications without having to pay for features that they may not want.
IXF18103 may be used in combination with Intel®10Gb LXT16726/27 MUX and CDR DeMUX chips and Intel’s
TXN18107 XFP optical transceiver for efficient 10GbE LAN/WAN support in enterprise and real estate-sensitive applications.
IXF18104 10 Gigabit LAN PHY
The Intel®IXF18104 is a highly integrated solution for 10GbE Local Area Network (LAN) port applications compliant as
per IEEE802.3ae specifications. The IXF18104 supports the 10GbE LAN mode of operation for transport of Ethernet
frames in LAN (10.3125Gbps) applications.
The 10 Gigabit MAC (per IEEE 802.3ae) handles frame encapsulation, verification, 10GbE flow control, and Remote
Monitoring/Simple Network Management Protocol (RMON/SNMP) statistics management. The IXF18104 also handles the
Physical Coding Sublayer (PCS) functions of the IEEE 802.3ae 10 Gigabit Ethernet standard. The PCS hardware handles the
64B/66B encoding/decoding to provide the transition density and balance of the 10.3125Gbps stream.
Optical Products developer.intel.com/design/network
90
The system interface supports the industry-standard System Parallel Interface Level 4 (SPI-4) Phase 2. This interface is
16 bits wide with 622Mbps–800Mbps Double Data Rate (DDR) clocking. The SPI-4 Phase 2 interface is Low Voltage
Differential Signaling (LVDS), which provides the customer with less connection concerns than previous 64-bit High-Speed
Transport Layer (HSTL) interfaces. On the line side, the IXF18104 supports the LAN version of the IEEE 802.3ae XSBI
interface. The 16-bit LVDS interface operates at 644Mbps to support the 10GbE LAN rate of 10.3125Gbps.
The IXF18104 also supports an integrated Pseudo Random Bit Sequence (PRBS) packet generator/analyzer for the PCS.
Line remote, line local, system remote, and system local loopbacks are supported for general development functionality test
and debug. The IXF18103 is offered with Evaluation and Software development platforms to assist customers in the
development of their line card solutions.
IXF18104 may be used in combination with Intel 10Gb LXT16726/27 MUX and CDR DeMUX chips and Intel’s
TXN18107 XFP optical transceiver for efficient 10GbE LAN support in enterprise and real estate-sensitive applications.
10Gbps Forward Error Correction (FEC/EFEC)
IXF30001 Forward Error Correction Device
IXF30003 Forward Error Correction Device with SONET/SDH Performance Monitor
The Intel®IXF30001 and Intel®IXF30003 are Forward Error Correction (FEC) transponder devices. They provide coding
and decoding functionality for use in a 2.5Gbps and 10Gbps fiber optical transmission and systems. Based on the out-of-
band FEC scheme recommended by ITU-T G.975, IXF30001 and IXF30003 provide a significant gain in transmission
quality. Both devices are intended for use in optical networks running at 2.5Gbps and 10Gbps, especially Dense Wavelength
Division Multiplexing (DWDM) systems. Due to the out-of-band nature they do not impose any restrictions to the payload
data type. IXF30001 and IXF30003 may be operated as:
FEC transmitter wrapping and encoding the payload data stream
FEC receiver unwrapping, decoding and error correcting the received data
FEC transceiver, or
Error correcting regenerator
SONET/performance monitor (IXF30003 only)
With its power consumption below 3.5W the IXF30001 and IXF30003 have excellent power to performance ratio, and
small space requirement. The devices open the door to wrapper-based transparent OAM&P of optical networks. Integrated
error statistics circuitry and serial Optical Overhead Channels (OCOH) allow complete remote management of an optical
network. Both devices can be controlled via an 8-bit processor interface allowing a highly event-driven communication for
reducing processor load.
Forward Error Correction (FEC)/Digital Wrapping
IXF30005 Digital Wrapper 10Gbps OTN
The Intel®IXF30005 is a fully compliant G.709 digital wrapper device that covers most Optical Transport Network (OTN)
applications on a single chip. Based on the digital signal wrapping technique defined by ITU-T G.709, the IXF30005
provides all functions required for an OTN system, including transmission protection based on Forward Error Correction
(FEC). With integrated FEC error statistics and overhead processing facilities, the Intel IXF30005 is a key component in
wrapper-based transparent operation, administration, maintenance and provisioning of optical networks.
The Intel IXF30005 is built on technology developed for the Intel IXF30001, which was the world’s first commercially
available 10Gbps FEC device in the optical marketplace. Supporting both asynchronous and synchronous mapping schemes,
the Intel IXF30005 provides rich OTN framing, mapping and overhead functions on chips, compliant with the ITU-T G.709
standard.
Acting as a direct pin- and software-compatible drop-in replacement for the Intel IXF30001 and IXF30003, the IXF30005
supports G.975 framing, as well as ITU-T G.709. The IXF30005 may be operated as a gateway between existing IXF30001-
or IXF30003-based systems and ITU-T G.709-compliant equipment.
developer.intel.com/design/network Optical Products
91
With its power consumption of 3.5W the IXF30005 has excellent power to performance ratio, and small space requirements.
The device opens the door to digital wrapper OTN applications. Integrated error statistics circuitry and serial Optical
Overhead Channels (OCOH) allow complete remote management of an optical network. The devices can be controlled
via an 8-bit processor interface allowing a highly event-driven communication for reducing processor load.
IXF30007 10–13Gbps Digital Wrapper with Enhanced Forward Error Correction (FEC) and Variable Overhead Rate
The Intel®IXF30007 is a fully compliant G.709 digital wrapper device that covers most Optical Transport Network (OTN)
applications on a single chip. Built on the technology developed for the Intel IXF30001 (FEC100), the first commercially
available 10Gbps FEC device in the market, the IXF30007 is designed for optical transmission applications where the coding
gain reached with standard FEC algorithms (ITU-T G.975, ITU-T G.709) is not sufficient. The Intel IXF30007 supports
enhanced Forward Error Correction (FEC) using two concatenated RS decoders that provide unparalleled performance and
flexibility. The variable FEC overhead allows the adoption of various line rates from 10 to 13Gbps and outperforms any
standard FEC already at 10.7Gbps with a net coding gain of 7db. At a line rate of 12.5Gbps it offers approximately 9 dB of
net electrical coding gain. It also wraps a 10.3Gbps Ethernet client signal into a 10.7Gbps or higher line rate.
The core FEC technology concatenates two Reed-Solomon codes that are configurable in both error correction capability
and block length, delivering a coding gain configuration between zero and 30 percent overhead.
The IXF30007 consists of two completely separated signal paths referred to as north and south paths. While the north path
is primarily designed to operate as a line receiver, the south path may be used as a line transmitter. The IXF30007 forms the
basis of a single chip transponder application and, using integrated bridges between both paths, may be configured as a
regenerator and provide APS support. The Intel IXF30007 provides all basic functions required for an OTN system, and
appropriate configuration of the outer code ensures compliance with the digital signal wrapping technique defined by ITU-T
G.709. With integrated overhead processing circuitry and different types of payload mapping, the IXF30007 is a key
component in wrapper-based transparent operations, administration, maintenance and provisioning of optical networks.
With its low power consumption (less than 3W in a G.709 application and approximately 4W at 12.5Gbps line rate) the
IXF30007 has excellent power to performance ratio, and even smaller space requirements than IXF30005. The device opens
the door to digital wrapper OTN applications with increased FEC coding gain requirements. Integrated error statistics
circuitry and serial Optical Overhead Channels (OCOH) allow remote management of an optical network.
The devices can be controlled via an 8-bit processor interface allowing a highly event-driven communication for reducing
processor load.
IXF30009 Optical Transport Processor
The Intel®IXF30009 Optical Transport Processor is a highly integrated device. As a successor to the Intel IXF30001/
IXF30003/ IXF30005/ IXF30007 devices, the Intel IXF30009 builds on this expertise and is designed to handle most
OTN applications on a single chip. It provides strong Forward Error Correction (FEC) performance and support for
networking standards such as OTN (G.709v2), SONET/SDH and 10Gigabit Ethernet (10GigE). The IXF30009 features
SFI-4-compliant interfaces that can be configured to support either a single 10Gbps signal or four 2.5Gbps tributary signals.
It can perform fully transparent asynchronous aggregation of 2.5Gbps tributaries into a 10.7Gbps (OTU2) signal. The device
is full duplex and both directions can be configured independently.
The chip can be used as a SONET/SDH framer providing complete section and line termination for one OC-192/STM-64
or four OC-48/STM-16 signals. It can also be operated as a bridge device, transparently transporting any payload over a
G.709-compliant network, a network node operating with OTN signals on all interfaces, and as a gateway between
asynchronous OTNs interfacing between different carrier networks.
The single-chip integration support for multiple standards makes the Intel IXF30009 the ideal high-integration solution for
a wide range of applications including OTN networks, FEC regenerators, multi-service platforms, SONET/SDH Add/Drop
multiplexers, submarine and ULH FEC applications, low-cost 10Gbps/2.5Gbps metro and core networks, high-density line
cards for 2.5Gbps networks (with four bidirectional ports and 10GigE LAN transport and monitoring).
Optical Products developer.intel.com/design/network
92
The variable FEC overhead allows the adoption of various line rates from 10 to 12.5Gbps and outperforms any standard
FEC already at 10.7Gbps with a net coding gain of 8.5db. At a line rate of 12.5Gbps it offers over 10dB of net electrical
coding gain. It also wraps a 10.3Gbps Ethernet client signal into a 10.7Gbps or higher line rate.
WB1400 1 x STM-4/OC-12 or 4 x STM-1/OC-3 Channelized SONET/SDH Framer
The Intel®WB1400 1 x STM-4/OC-12 or 4 x STM-1/OC-3 Channelized SONET/SDH Framer is targeted at high-density
telecommunications and data communications equipment built for the metropolitan area network (MAN) and access
networks, including SONET/SDH ADMs, Switches, Multi-Service Provisioning Platforms (MSPPs) and Access
Concentrators used to aggregate end-user traffic transmitted over T1/E1/J1/DS3, wireless, DSL and other transmission pipes.
The Intel WB1400 enables equipment makers to design for either OC-12/STM-4 or 4xOC-3/STM-1 with the same chip. In
the OC-3/STM-1 mode, the Intel WB1400 incorporates on-chip Clock and Data Recovery (CDR) which enables connection
to small form factor pluggable (SFP) Optics. On the system side, the device offers a triple redundant 4 x 8-bit telecom bus
interface at 77.76MHz for backplane connectivity, protection applications, or connection to an add/drop tributary device.
Software compatibility with the Intel®WB15xx/WB1400 product family enables reuse of design for multiple applications.
In addition, system designers can develop a single board with different stuffing options applicable for a range of line interface
connections. This compatibility enhances equipment manufacturer productivity and reduces design time required for
SONET/SDH systems.
WB1500 1 x OC-48/STM-16 or 4 x OC-12/STM-4 Channelized SONET/SDH Framer
The Intel®WB1500 1 x OC-48/STM-16 or 4 x OC-12/STM-4 Channelized SONET/SDH Framer is targeted at high-
density telecommunications and data communications equipment built for the wide area network (WAN) and
metropolitan edges of the network, including SONET/SDH ADMs, multi-service routers, switches, access concentrators and
dense wavelength division multiplexing (DWDM) systems, used to aggregate large amounts of end user traffic transmitted
over T1/E1/J1/DS3, wireless, DSL and other transmission pipes.
The Intel WB1500 is a highly integrated channelized framer and overhead processor that comprehensively addresses both
SONET and SDH standards requirements. Compared to competitive devices targeting similar applications, the Intel WB1500
incorporates several unique features such as advanced support for tandem connections, and Intel ALERT. In the 622 Mbps
mode, the Intel WB1500 connects directly to the CDRs eliminating the need for four external MUX/DeMUX devices.
Software compatibility with the Intel WB15xx/WB1400 product family enables reuse of design for multiple applications.
In addition, system designers can develop a single board with different stuffing options applicable for a range of line interface
connections. This compatibility enhances equipment manufacturer productivity and reduces design time required for
SONET/SDH systems.
WB1501 16 x OC-3 Channelized SONET/SDH Framer with Clock and Data Recovery
The integrated Intel®WB1501 16 x OC-3 Channelized Framer with Clock and Data Recovery (CDR) replaces 4 x OC-3
Framer enabling the design of significantly smaller, more functional optical interfaces. The Intel WB1501 supports
sixteen 155Mbps optical ports, channelized down to the STS-1/VC-3 level.
The Intel WB1501 is designed for high-channelization, high density equipment located at the Access and Metropolitan
edges of the network, including SONET/SDH ADMs, multi-service routers, switches, access concentrators and DWDM
equipment used to aggregate large amounts of end-user traffic transmitted over T1/E1/J1/DS3, wireless, DSL and other
transmission pipes. Additionally, with the advent of new high-density optics, the Intel WB1501 eliminates a major barrier to
designing higher density optical interface boards.
The Intel WB1501 achieves the industry’s highest density at the lowest power dissipation rate and enables equipment
makers to build very high-density optical interfaces with drastically reduced power dissipation, a critical advantage in
building today’s state-of-the-art systems.
developer.intel.com/design/network Optical Products
93
Software compatibility with the Intel WB15xx/WB1400 product family enables reuse of design for multiple applications.
In addition, system designers can develop a single board with different stuffing options applicable for a range of line interface
connections. This compatibility enhances equipment manufacturer productivity and reduces design time required for
SONET/SDH systems.
WB1510 2 x STM-4/OC-12 or 2 x STM-1/OC-3 Channelized SONET/SDH Framer
The Intel®WB1510 is the industry’s first single-chip 2 x STM-4/OC-12 or 2 x STM-1/OC-3 Channelized SONET/SDH
Framer. The device offers equipment makers the flexibility to design 2-fiber line interfaces for ring applications, using
a single chip. The 2 x OC-3/STM-1 mode enables systems engineers to design a single line interface card for both OC-12/
STM-4 and OC-3/STM-1 rates. The Intel WB1510 is targeted at high density telecommunications and data communications
equipment built for the metropolitan area network (MAN) and access networks, including SONET/SDH ADMs, Switches
and Multi-Service Provisioning Platforms (MSPPs), and Access Concentrator equipment used to aggregate end-user traffic
transmitted over T1/E1/J1/DS3, wireless, DSL and other transmission pipes.
The Intel WB1510 offers a telecom bus interface and full bandwidth cross-connect that enables connections to multiple
devices or cards, such as tributary interfaces at the DS1/E1/DS3/E3 or OC-3/STM-1 rates. In the OC-3/STM-1 mode, the
WB1510 incorporates on-chip CDR.
Software compatibility with the Intel WB15xx/WB1400 product family enables reuse of design for multiple applications.
In addition, system designers can develop a single board with different stuffing options applicable for a range of line interface
connections. This compatibility enhances equipment manufacturer productivity and reduces design time required for
SONET/SDH systems.
10 Gigabit XAUI Transceiver
LXT12102 XAUI to 10G Serial Transceiver
Intel®LXT12102 is a follow-on next-generation product to LXT12101. The device is intended for use in the same
applications as LXT12101. With strong integrated features that includes, 8051 microcontroller, multiple ADC and DAC
channels, VCSEL driver, Limiting Amplifier, and JTAG, it is an ideal device for optical transceiver modules. LXT12102 uses
internal 90nm process technology which enables more than 25 percent power reduction in the B0 version. Similar to
LXT12101, it can also be used in the system gasket applications and comes with reference designs.
10Gbps Transmitters
GD16585 10Gbps 16:1 Multiplexer (MUX) with Retiming
GD16589 10.66Gbps 16:1 Multiplexer (MUX) with Retiming
Intel’s highly integrated 10Gbps and 10.66Gbps transmitter chips for use in STM 64, OC-192 and out-of-band Forward
Error Correction (FEC) applications all incorporate a 16:1 MUX with fully integrated retiming Phase Locked Loop (PLL).
The 10Gbps MUX GD16585 and GD16589 include a dynamic phase alignment circuit that provides forward timing for OIF
applications or jitter clean up of the reference clock. All the devices exceed the ITU-T and Telcordia jitter requirements.
LXT16707 9.95–10.71Gbps Low-Power 16:1 Multiplexer (MUX)
The Intel®LXT16707 is a highly integrated, high-performance and low-power serializer for SDH STM 64, SONET
OC-192, Optical Transport Networking (OTN), Forward Error Correction (FEC/EFEC) applications and 10GbE
systems. Manufactured using a SiGe BiCMOS process for an optimal power/performance ratio, the Intel LXT16707
serializer chip helps ensure stable and reliable operation in Telco-class networking equipment.
The Intel LXT16707 transmitter includes a 16:1 MUX and Phase Locked Loop (PLL) circuits. In order to ensure critical
timing between clock and data signals going from the framer to the MUX, a 2-bit FIFO has been integrated as support for the
Optical Products developer.intel.com/design/network
94
Dynamic Phase Alignment (DPA). The device exceeds ITU-T and Telcordia jitter requirements. The LXT16707 is operated
from a single 3.3V power supply and has a power dissipation of 0.75W. It is packaged in a 13x13 mm 132-ball PBGA.
LXT16727 9.95–11.1Gbps Low-Power 16:1 Multiplexer (MUX)
The Intel®LXT16727 is a highly integrated, high-performance and low-power serializer for SDH STM 64, SONET OC-192,
Optical Transport Networking (OTN), Forward Error Correction (FEC/EFEC) applications and 10GbE systems.
Manufactured using a SiGe BiCMOS process for optimal power/performance ratio, the Intel LXT16727 serializer chip
helps ensure stable and reliable operation in Telco-class networking equipment.
The Intel LXT16727 transmitter includes a 16:1 MUX and Phase Locked Loop (PLL) circuits. In order to ensure critical
timing between clock and data signals going from the framer to the MUX, a 9-bit FIFO has been integrated as support for
Dynamic Phase Alignment (DPA). Furthermore, the device has three reference clock inputs to fit a wide range of applications
(SONET, GbE and OTN) as well as a third integrated PLL for jitter clean-up. The device exceeds the ITU-T and Telcordia
jitter requirements. The LXT16727 is operated from a single 3.3V power supply and has a power dissipation of 1.1W. It is
packaged in a 13x13 mm or 10x10 mm 132-ball PBGA.
10Gbps Receivers
GD16584 10Gbps Clock and Data Recovery (CDR) device with 1:16 Demultiplexer (DeMUX)
GD16588 10.66Gbps Clock and Data Recovery (CDR) device with 1:16 Demultiplexer (DeMUX)
Intel’s highly integrated 10Gbps and 10.66Gbps receivers for use in STM 64, OC-192 and out-of-band Forward Error
Correction (FEC) applications all feature a fully integrated Phase Locked Loop (PLL)-based Clock and Data Recovery
(CDR) device, along with a 1:16 Demultiplexer (DeMUX). The devices exceed the ITU-T and Telcordia jitter
recommendations. Interconnection to 78Mbps framers can be made with the digital support circuits.
LXT16706 9.95–10.71Gbps Low-Power 1:16 Demultiplexer (DeMUX)
The Intel®LXT16706 is a highly integrated, high-performance and low-power deserializer for SDH STM 64, SONET
OC-192, Optical Transport Networking (OTN), Forward Error Correction (FEC/EFEC) applications and 10GbE systems.
Manufactured using a SiGe BiCMOS process for an optimal power/performance ratio, the Intel LXT16706 deserializer
chip helps ensure stable and reliable operation in Telco-class networking equipment.
The Intel LXT16706 is a DeMUX with an integrated Clock and Data Recovery (CDR) device and a high-quality Limiting
Gain Amplifier and is fully compliant with the Optical Interface Forum’s SFI-4 recommendation on common electrical
interface between framers and Serializer/Deserializer (SerDes) for OC-192/STM 64. The LXT16706 is operated from a dual
1.8V/3.3V power supply and has a power dissipation of 0.75W. It is packaged in a 13 x 13 mm 132-ball PBGA.
LXT16713XC 9.95–11.1Gbps Clock and Data Recovery (CDR)
The Intel®LXT16713XC features an integrated Limiting Amplifier (LIA) with state-of-the-art input sensitivity (better than
2x2.5mVpp @ BER 10-10), a Clock and Data Recovery (CDR) unit and a loss of signal/loss of lock circuitry. The device
provides a high-performance protocol-agnostic 10Gbps Clock and Data Recovery and Re-timer solution for use in optical
line cards and XFP MSA modules for SDH STM 64, SONET OC-192, Optical Transport Networking (OTN) systems with
Forward Error Correction (FEC) applications; and submarine systems, 10GbE networks, and 10Gbps Fiber Channel (GFC)
and fiber-optic test equipment.
The CDR recovers clock and data, sampling the incoming signal to determine the optimum bit period and coping with
dispersions in the fiber that can cause the eye to shrink or deteriorate. The CDR automatically detects the optimum sampling
point. The LXT16713 is operated from a single 3.3V power supply and has a power dissipation of 0.5W. It is manufactured
using BiCMOS technology and packaged in a 5x6 mm 42-ball PBGA.
developer.intel.com/design/network Optical Products
95
LXT16713A 9.95–11.1Gbps Clock and Data Recovery (CDR)
The Intel®LXT16713A features an integrated Limiting Amplifier (LIA) with state-of-the-art input sensitivity (better than
2x5mVpp @ BER 10-10), a Clock and Data Recovery (CDR) unit and a loss of signal/loss of lock circuitry. The device
can be used in XFP modules as a signal conditioner in both Receive and Transmit paths or as an eye opener in optical line
cards for SDH STM 64, SONET OC-192, Optical Transport Networking (OTN) systems with Forward Error Correction
(FEC) applications; and submarine systems, 10GbE networks, and 10Gbps Fiber Channel (GFC) and fiber-optic test equipment.
The LXT16713A is operated from a single 3.3V power supply and has a power dissipation of 0.5W. It is manufactured
using BiCMOS technology and packaged in a 4x4 mm 24-lead QFN.
LXT16723 9.95–11.1Gbps XFP Clock and Data Recovery (CDR)
The Intel®LXT16723 features an integrated Limiting Amplifier (LIA) with state-of-the-art input sensitivity (better than
2x5mVpp @ BER 10-10), a Clock and Data Recovery (CDR) unit and a loss of signal/loss of lock circuitry. The CDR
acts as signal conditioner for either XFP Receive and Transmit paths and conforms to XFI electrical interface as defined in
XFP MSA. The device also supports Rx alarms such as LOS and LOCK, and can be used in combination with a micro
controller for XFP modules. Furthermore the device can be used as an eye opener in optical line cards for SDH STM 64,
SONET OC-192, Optical Transport Networking (OTN) systems with Forward Error Correction (FEC) applications; and
submarine systems, 10GbE networks, and 10Gbps Fiber Channel (GFC) and fiber-optic test equipment.
The LXT16723 is operated from a dual 1.8/3.3V power supply and has a power dissipation of 250–350mW. It is
manufactured using BiCMOS technology and packaged in a 4x4 mm 24-lead QFN.
LXT16726 9.95–11.1Gbps Low-Power 1:16 Demultiplexer (DeMUX)
The Intel®LXT16726 is a highly integrated, high-performance and low-power deserializer for SDH STM 64, SONET
OC-192, Optical Transport Networking (OTN), Forward Error Correction (FEC/EFEC) applications and 10GbE systems.
Manufactured using a SiGe BiCMOS process for an optimal power/performance ratio, the Intel LXT16726 deserializer
chip helps ensure stable and reliable operation in Telco-class networking equipment.
The Intel LXT16726 is a DeMUX with an integrated Clock and Data Recovery (CDR) device and a high-quality Limiting
Gain Amplifier and is fully compliant with the Optical Interface Forum’s SFI-4 recommendation on common electrical
interface between framers and Serializer/Deserializer (SerDes) for OC-192/STM 64. The LXT16726 is operated from a dual
1.8V/3.3V power supply and has a power dissipation of 0.75W. It is packaged in a 13x13 mm or 10x10 mm 132-ball PBGA.
10Gbps Transceiver
LXT16715 9.95–11.1Gbps XFP Transceiver
The Intel®LXT16715 features a bidirectional Clock and Data Recovery Device including integrated Limiting Amplifiers
(LIA) with state-of-the-art input sensitivity (better than 2x5mVpp @ BER 10-10). The CDRs acts as signal conditioner for
XFP Receive and Transmit paths, supports system and line side loop back and conforms to XFI electrical interface as defined
in XFP MSA. The device also supports Rx/Tx alarms such as LOS and LOCK, and can be used in combination with a micro
controller for XFP modules. Also the device can be used as an eye opener in optical line cards for SDH STM 64, SONET
OC-192, Optical Transport Networking (OTN) systems with Forward Error Correction (FEC) applications; and submarine
systems, 10GbE networks, and 10Gbps Fiber Channel (GFC) and fiber-optic test equipment.
The LXT16715 is operated from a dual 1.8/3.3V power supply and has a power dissipation of 500–700mW. It is
manufactured using BiCMOS technology and packaged in a 6x6 mm 49-ball BGA.
Optical Products developer.intel.com/design/network
96
10Gbps Amplifiers
LXT13002 Limiting Amplifier (LIA) with Loss of Signal (LoS)
The Intel®LXT13002 Limiting Amplifier (LIA), has up to 10.7Gbps operation, input sensitivity of less than 5mV
differential, on-chip DC offset compensation, and a single 1.8V power supply. The device can be used in 10Gbps
Ethernet serial LAN, MAN and WAN systems, SONET OC-192, Fibre Channel FC-10, and InfiniBand 1X. The LXT13002
has a programmable loss of signal indicator. The 1.8V supply voltage allows compatibility with 10GbE XFP and XENPAK
module specifications, and the low power consumption < 342mW reduces heat generation, enabling implementations within
small form factor modules. The low total Root Mean Square (RMS) jitter, typically < 3ps, results in low system jitter at
10Gbps data rates, and the 0.500mV output voltage swing is able to interface with Current Mode Logic (CML). The
LXT13002 is available in die form and in 24 MLF package.
LXT16865 Transimpedance Amplifier (TIA)
The Intel®LXT16865 is a high gain Transimpedance Amplifier (TIA) providing a differential output swing up to
2x200mVpp and a small signal, single-ended transimpedance gain of 6000. It requires a single power supply of +3.3V
and consumes less than 130mW of power. A high optical sensitivity of -20dBm makes LXT16865 the TIA of choice for
Metro and Long-Haul applications while LXT14012 is suitable for the Metro/Access and Enterprise market segments. It can
support over 2mA input current before overload.
The LXT16865 is tolerant to a photodiode capacitance of 0.2pF, allowing broadband operations with commercial grade
photodiodes. They are designed in proven SiGe process and are available in die.
10Gbps Drivers
LXT17001 Laser Driver
The Intel®LXT17001 is one of three distinct components of the Intel®10Gbps Physical Medium Dependent (PMD)
Chipset. With the expanding demand for data communications, the result is the drive for advancement of high-speed
optical networks. The LXT17001 is a low-cost, high-performance laser diode driver IC intended for fiber-optic transmitters
operating up to 10.7Gbps. Use of CMOS technology offers an economic solution with considerable power savings compared
to traditional 5V bipolar or GaAs parts. The low power consumption reduces heat generation, enabling implementations
within small form factor modules, and the low signal overshoot and undershoot <10 percent, creates low jitter and open eye
patterns at 10Gbps data rates. The current drive capability is 25mA modulation current into a 50load and is sufficient for
biasing and driving most commercial grade Vertical Cavity Surface Emitting Laser (VCSELs). The supply voltages are
compatible with preliminary 10GbE XFP and XENPAK module specifications. The LXT17001 is available in die form
and 24 MLF package.
LXT17011 Laser Driver
The Intel®LXT17011 is a low-cost, high-performance laser diode driver IC intended for fiber-optic transmitters operating
over 10 Gbps. Similar in performance to the LXT17001 except that the output of the Intel LXT17011 is an unterminated
open drain, allowing the part to drive low impedance lasers with modulation currents up to 50mA. Use of CMOS technology
offers an economic solution with considerable power savings compared to traditional 5V bipolar or GaAs parts. The
LXT17011 is available in die.
developer.intel.com/design/network Optical Products
97
LXT17031 10Gbps Electro-Absorption Modulator (EAM Driver)
The LXT17031 is an Electro-Absorption Modulator (EAM) driver that provides an adjustable swing up to 2.5Vpp into a
50impedance load for data rates up to 10.7Gbps. The LXT17031 requires a single power supply (-5.2V) and features
a very low power dissipation rate (0.8W). The LXT17031 EAM driver incorporates a three-stage amplifier: the input
amplifier is designed for a wide-dynamic range input signal and provides a duty-cycle control function; the second amplifier
prepares the data signal for the output stage; and the output stage enables a DC connection to the modulator and provides
high-drive capability and output-voltage swing control. The LXT17031 is used in telecommunication transmission systems,
DWDM applications, 10.7Gbps Forward Error Correction and Optical Transport Network transmission and metro and long-
haul transmitters. The LXT17031 is available in die and QFN32 package.
10Gbps Optical Transceivers
TXN132201/5/7 Small Form Factor 10Gbps Optical Transceivers
The Intel®TXN132201/5/7 Small Form Factor 10Gbps Optical Transceivers are designed to provide an interface between
the photonic physical layer and the electrical layer in 10Gbps network applications. The TXN13320 transceiver family
provides an optical transmitter and receiver pair integrated with an electrical Multiplexer (MUX) and Demultiplexer
(DeMUX). The module multiplexes/demultiplexes 16 channels from a differential Low-Voltage Differential Signal (LVDS)
parallel data bus into a serial optical signal running at line rates from 9.953 to 10.709Gbps. An integrated micro-controller
provides robust control and monitoring of the module through an I2C interface on the 300-pin Berg* MEG-Array connector.
The transmitter contains a cooled 1310nm Distributed Feedback (DFB) laser or a cooled 1550nm Electro-absorption
Modulated Laser (EML) with integrated laser-driver, launched into a single-mode optical fiber pigtail. The receiver includes
a PIN photodiode and transimpedance amplifier, which operate over both the 1.3µm and 1.5µm bands.
The TXN13220 transceiver family can be configured to supply a single- or dual-rate SONET/SDH, SONET+ Forward
Error Correction (FEC) or 10Gb Ethernet interface, with optional clock jitter filtering. The module satisfies link distances
from 0 to 40km with future variants extending to 80km in reach. The transceiver is assembled in a Multi-Source Agreement
(MSA)-compatible package that is a maximum size of 3.0" Lx2.2" Wx0.63" H.
The Intel TXN13220 family of transceivers is designed to be compliant with Telcordia GR-253 requirements for OC-192
SONET interfaces and draft 5.0 of IEEE 802.3ae, 10GBASE-L and 10GBASE-E 10Gb Ethernet specifications. The
TXN13220 family is also fully compliant to the 300-pin MSA for 10 Gigabit transponders and I2C reference document for
300-pin MSA transponders.
TXN13600 10Gbps C-Band Tunable Optical Transceiver for DWDM Networks
The Intel®TXN13600 Tunable Optical Transceiver is a full C-band tunable10Gbps transceiver for DWDM network
applications. By allowing customers to tune the transceiver to any one of the ITU grid channels, the Intel TXN13600
transceiver makes it possible to cover the 80-channel C-band with a single transceiver, eliminating the requirement to carry
80 different transceivers for each of the required wavelengths.
The Intel TXN13600 Tunable Optical Transceiver provides a compliant interface between the photonic physical layer and
the electrical section layer. The module includes an optical transmitter and receiver pair integrated with electrical MUX/
DeMUX functions. When matched with Intel’s other transceiver, Framer and FEC products, Intel provides the complete
solution for many line card designs.
Designed to meet the link budget and distance requirements of metro and long-haul networks, the highly integrated Intel
TXN13600 module includes a Lithium Niobate Mach-Zehnder modulator, microcontroller, MUX (9bit FIFO)/DeMUX,
Clock and Data Recovery (CDR) unit, jitter filter, APD and PIN receiver options, and a temperature-tuned external cavity
laser into a 4.1" Lx3.5" Wx0.53" H form factor device with only 11W of maximum power dissipation. Additional product
features include SBS suppression (“dithering”), multi-rate capability (SONET/SDH, Ethernet, and Forward Error
Correction), zero chirped (+/-1200ps/nm dispersion) and negative chirped (+1600ps/nm dispersion) modulator options,
and a transmit output power of up to +5.5dBm.
Optical Products developer.intel.com/design/network
98
TXN17201/9 10Gbps Optical Transceiver, compliant with XPAK MSA
The Intel®TXN17201/9 10Gbps optical transceiver is XPAK PCI form factor compatible, hot-pluggable module designed
for use in data-center applications in Local Area and Storage Area Networks.
The device is a 10GBASE-SR multi-mode transceiver operating at 10.3Gbps for Ethernet applications, and includes
Physical Coding Sublayer (PCS), Physical Medium Attachment (PMA), and Physical Medium Dependent (PMD) functions.
The transmitter section decodes four 8B/10B-encoded channels at 3.125Mbps from an XAUI parallel data bus, performs
64B/66B scrambling, and multiplexes the result into a 10.3Gbps Ethernet rate optical signal.
The receiver section demultiplexes a single 10 Gbps optical signal and converts it to four channels of XAUI. The receiver
includes a photodiode, transimpedance amplifier, clock recovery decision circuit and demultiplexer, and operates over the
850nm band.
The TXN17201 transceiver is assembled in an XPAK Multi-Source Agreement (MSA)-compatible 2.74" Lx1.557"
Wx0.465" H module. The heat sink is designed for 45°C ambient temperature with 100 linear feet per minute airflow. The
electrical interface is through an XPAK MSA-compliant 70-pin board edge connector, with optical connections made using
standard LC optical connectors. The transceiver is intended for link spans up to 300 m, and uses an 850 nm Vertical Cavity
Surface Emitting Laser (VCSEL). An IEEE802.3ae and XPAK MSA-compliant Management Data Interface (MDIO) are
also included.
The Intel®TXN17209 10Gbps XPAK optical transceiver operating at 10.5Gbps for Fibre Channel applications is also
available.
TXN174XX 10Gbps Optical Transceiver, compliant with XENPAK MSA
The Intel®TXN174XX 10Gbps Optical Transceiver is XENPAK compliant and designed to provide a IEEE802.3ae
10.3Gbps interface between the photonic physical layer and the electrical section layer. The module comprises an optical
transmitter and receiver pair integrated with XAUI to Serial conversion. PCS, PMA and PMD functions are included. The
transmitter section decodes four 8B/10B encoded channels at 3.125Mbps from a XAUI parallel data bus, performs 64B/66B
scrambling and multiplexes the result into a 10.3125Gbps optical signal launched into a single-mode optical fiber pigtail.
The receiver section demultiplexes a single 10.3125Gbps optical signal and performs opposite decoding before it converts
back to 4 channels of 3.125Mbps XAUI. The receiver includes a photodiode, Transimpedance Amplifier (TIA), Clock and
Data Recovery (CDR) device, decision circuit, and DeMUX. An IEEE802.3ae and XENPAK MSA-compliant Management
Data Interface (MDIO) is included.
The optical transceiver is assembled in a Multi-Source Agreement (MSA)-compatible 4.5" Lx1.4" Wx0.7" H package. The
heat sinking was designed for 55°C ambient temperature/200 linear feet per minute airflow. Alternative heat sinking options
are also available. The XAUI interface connection is made using a XENPAK MSA-compliant 70-pin board edge connector.
Optical connections are made with standard SC-UPC optical connectors.
The 10GBASE-SR transceiver is intended for link spans up to 300m, and uses a 850nm vertical cavity surface emitting
laser (VCSEL) source.
The 10GBASE-LR transceiver is intended for link spans up to 10km, and uses a 1.3µm distributed feedback (DFB) laser
source. The 10GBASE-ER transceiver is intended for link spans up to 40km, and uses a 1.5µm externally modulated (EML)
laser source.
TXN17431 CX4 Electrical Transceiver, compliant with XENPAK MSA
The Intel®TXN17431 CX4 Electrical Transceiver is a XENPAK compliant form factor module designed for link distances
of 15m over 24 American Wire Guide (AWG) InfiniBand* cable. It complies with the latest IEEE CX4 specification, as
drafted in 802.3ak_D5. Intel TXN17431 has an adjustable transmit de-emphasis that enables a transmit function that fits
within the template defined in 802.3ak. In addition, the built-in receive equalization provides a robust link for 15 m. In case of
proprietary links, an additional transmit pre-emphasis can be chosen in order to either drive longer distances of 24 AWG
cable or utilize an inferior but more flexible 28 AWG cable.
developer.intel.com/design/network Optical Products
99
Intel TXN17431 interfaces with standard InfiniBand cables using a 4X (8-signal pair) electrical connector. The connector is
a shielded structure for low cross-talk. This electrical mating interface is compliant to the standards—InfiniBand, 10GFC,
Serial ATA 2, and SAS. With the XENPAK-compliant 70-pin connector, the Intel TXN17431 can be readily plugged into the
existing optical slots in a line card application with no further changes required to the existing system board designs. This
ready-to-use function provides a way to quickly evaluate the CX4 modules in a real system environment.
TXN18107 10Gbps Optical Transceiver, compliant with XFP MSA
The Intel®TXN18107 10Gbps Optical Transceiver is XFP compliant and provides an interface between the photonic
physical layer and the electrical section layer in high-density data-center applications, local area networks (LANs) and
storage area networks (SANs). This small form factor, hot-pluggable transceiver provides excellent optical performance in the
challenging thermal environment of multi-port switch line cards and other high port-density applications including server
network interface cards, storage host bus adapters, LAN switches, SAN switches and storage array interfaces.
The Intel TXN18107 transceiver will operate at any bit rate from 9.95 to 10.51875Gbps. It is compliant with IEEE 802.3ae
draft 5.0 10GBASE-LR, operating at 10.312Gbps for Ethernet applications and 10GFC draft 3.0 1200-SM-LL-L, operating
at 10.51875Gbps for Fibre Channel applications.
The transceiver includes an optical transmitter and receiver pair integrated with clock and data recovery (CDR) integrated
circuits. The transceiver also includes an XFP MSA-compliant, 2-wire digital management and diagnostic interface.
Assembled in a compact MSA-compliant form factor, the transceiver is 0.722"x0.453"x3.071" and is designed to be
compliant with draft 5.0 of IEEE 802.3ae and 10GFC draft 3.0 specifications.
TXN3101 4/2/1Gbps Small Form Factor (SFF) Optical Transceivers
The Intel®TXN3101 4/2/1Gbps Small Form Factor (SFF) Optical Transceivers provide high performance integrated
duplex data links for bidirectional communication over multimode optical fiber. This module is designed for high-speed
Fibre Channel data links at 4.25Gbps (4X Fibre Channel rate). With the rate select pin, the module is also rate agile and can
work at the 1X and 2X Fibre Channel rates (1.0625Gbps and 2.125Gbps) and the Gigabit Ethernet rate (1.25Gbps).
The Intel TXN3101 optical transceiver is provided with a LC receptacle compatible with the industry standard LC optical
connector. The SFF 850nm transceivers use a single 3.3V supply and are assembled in a compact MSA-compliant form
factor package, 0.53"x0.386"x1.92". This optoelectronic transceiver module is a class 1 laser product compliant with FDA
Radiation Performance Standards, 21 CFR Subchapter J. This component is also class 1 laser compliant according to
International Safety Standard IEC-825-1.
TXN3111 4/2/1Gbps Small Form Factor Pluggable (SFP) Optical Transceivers
The Intel®TXN3111 4/2/1Gbps Small Form Factor Pluggable (SFP) Optical Transceivers provide high performance
integrated duplex data links for bi-directional communication over multimode optical fiber. This module is designed for
high-speed Fibre Channel data links at 4.25Gbps (4X Fibre Channel rate). With the rate select pin, the module is also rate
agile and can work at the 1X and 2X Fibre Channel rates (1.0625Gbps and 2.125Gbps) and the Gigabit Ethernet rate
(1.25Gbps).
The TXN3111 optical transceiver is provided with a LC receptacle compatible with the industry standard LC optical
connector. The SFF 850nm transceivers use a single 3.3V supply and are assembled in a compact MSA-compliant form
factor package, 0.58"x0.445"x2.22". This optoelectronic transceiver module is a class 1 laser product compliant with FDA
Radiation Performance Standards, 21 CFR Subchapter J. This component is also class 1 laser compliant according to
International Safety Standard IEC-825-1.
Optical Products developer.intel.com/design/network
100
TTX11500 Full C-Band Tunable Laser
The Intel®TTX11500 Full C-Band Tunable Laser is the ideal solution for DWDM optical transceivers and DWDM
discrete linecard designs. Full C-band tunable lasers alleviate inventory and sparing costs in high channel-count DWDM
systems by allowing a single device to replace multiple single-channel lasers tuned to individual wavelengths on the ITU
grid. Full C-band tunable lasers also enable new system functionality such as hot backup and dynamic provisioning in
addition to next-generation applications of optical regeneration and wavelength conversion.
The Intel®C-band tunable laser design consists of a cooled external cavity diode laser (ECDL) within a 30-pin butterfly
package. The ECDL uses an etalon-based, thermally actuated, widely tunable filter to achieve single mode operation at
selectable wavelengths. The tunable laser contains no moving parts and shares many design elements of standard distributed
feedback lasers (DFBs).
The laser has excellent optical performance, including low RIN, high SMSR, narrow line width and superior wavelength
accuracy over operating conditions and lifetime allowing the product to meet all the stringent requirements of today’s
high-channel-count long-haul and metro DWDM systems.
The Intel TTX11500 is designed to be implemented in modules and line card solutions with the Intel TTX11500
electronics reference design. This allows full control and monitoring of the laser via a LVTTL RS-232 serial interface
including the ability to tune to any channel within the C-band (off-grid tuning optional). The laser reference design also
accommodates features such as frequency dithering for SBS suppression and TxTRACE tone signaling, as implemented in
the Intel TXN11500 electronics reference design.
2.5Gbps Framer/Digital Wrapper/Bandwidth Management
IXF6048 Cell Packet Framer
The Intel®IXF6048 and IXF6012 multi-speed SONET/SDH cell packet framers are distinguished by a unique architecture
that enables OEMs to design high-performance IP/ATM transport equipment. The IXF6048 and IXF6012 can store
entire packets of different lengths, ATM cells or a combination of IP packets and ATM cells on the same payload, while
enabling optimal equipment processing. This capability is the result of a large on-chip FIFO (up to 16Kbytes), and allows
carriers to provide a much greater level of Quality of Service (QoS).
The IXF6048 supports various channel types such as one OC-48, or four OC-12s and the IXF6012 supports various
channel types such as one OC-12 or four OC-3s. The IXF6012 is pin-to-pin compatible with the IXF6048, enabling OEMs
to build highly flexible equipment that can be easily upgraded as the network grows. This flexibility also helps extend the life
and versatility of equipment, and helps reduce replacement costs. Intel’s software support, including device drivers and a
Graphical User Interface (GUI), enables OEMs to quickly implement both the IXF6048 and IXF6012. In addition, equipment
becomes easily adaptable for different speeds via software that changes the configuration. With an extremely configurable
design that carries both ATM and IP, the IXF6048 and IXF6012 cell/packet framers simplify and accelerate the transporting
of data through today’s multi-protocol network, and help speed time-to-market.
IXF30025 Digital Wrapper 2.5Gbps Optical Transport Network (OTN)
The Intel®IXF30025 is a fully compliant G.709 digital wrapper device that covers most Optical Transport Network (OTN)
applications on a single chip. The device is targeted for 2.5Gbps OTN applications. Based on the digital signal wrapping
technique defined by ITU-T G.709, the IXF30025 provides all functions required for a 2.5Gbps OTN system, including
transmission protection based on Forward Error Correction (FEC). With integrated FEC error statistics and overhead
processing facilities, the Intel IXF30025 is a key component in wrapper-based transparent operation, administration,
maintenance and provisioning of optical networks.
The Intel IXF30025 is built on technology developed for the Intel®IXF30005 Digital Wrapper for 10Gbps. Acting as a
direct pin- and software-compatible drop-in replacement for the Intel®IXF30001 the Intel IXF30025 supports G.975 framing,
as well as ITU-T G.709 OTU-1 framing. The IXF30025 may be operated as a gateway between existing IXF30001-based
developer.intel.com/design/network Optical Products
101
systems and ITU-T G.709-compliant equipment. With its power consumption of 2W the IXF30025 has excellent power to
performance ratio, and small space requirements. The device opens the door to digital wrapper OTN applications. Integrated
error statistics circuitry and serial Optical Overhead Channels (OCOH) allow complete remote management of an optical
network. The devices can be controlled via an 8-bit processor interface allowing a highly event driven communication for
reducing processor load.
IXF25300 2.5G SONET/SDH Framer with STS-1 and VT/TU Pointer Processor and AU/TU Cross Connect,
Stackable to 10Gbps
The Intel®IXF25300 2.5G SONET/SDH Framer with STS-1 and VT/TU Pointer Processor and AU/TU Cross Connect,
Stackable to 10Gbps provides a complete high and low order bandwidth management solution in Metro and Access
networks for Multi-Service Provisioning Platforms (MSPPs), Add/Drop Multiplexers (ADMs), Edge Boxes, Core Switches,
and Cross Connects using modular stackable fabric that allows multiple product platforms, sizes, and architectures within a
single chip. The Intel IXF25300 is compliant with Bellcore GR-253, ANSI T1.105, ETSI ETS 300 417, ITU-T G.707, G.783,
G.784, G.806 and G.826 requirements.
The Intel IXF25300 supports up to STM-16/OC-48 worth of bandwidth with any valid combination of STM-16/OC-48,
STM-4/OC-12 and STM-1/OC-3 interfaces. The device integrates all common ADM functions into a single chip to allow
single card ADM/MSPP development with linear scalability from 2.5Gbps to 10Gbps. It combines framing, high order and
low order processing into the same package. It also contains a single stage non-blocking AU/TU cross connect and provides
separate controls for AU and TU switch configurations. The Intel IXF25300 supports SD/SF on VC-4 to VT-1.5 VC-12 to
allow for faster UPSR/SNCP ring closure. Low power dissipation of 7W and a compact 31mmx31mm package enable
flexible design and improved time-to-market.
2.5Gbps Multi-rate Serializer/Deserializer (SerDes) Chipsets
GD16556 Multi-rate Clock and Data Recovery (CDR) device with 1:16 Demultiplexer (DeMUX) and Digital Wrapping
GD16557 Multi-rate 16:1 Multiplexer (MUX) with Digital Wrapping
LXT16596 Multi-rate Clock and Data Recovery (CDR) device with 1:4 Demultiplexer (DeMUX) and Digital Wrapping
LXT16597 Multi-rate 4:1 Multiplexer (MUX) with Digital Wrapping
The Intel®LXT16596/LXT16597 and GD16556/GD16557 are high-performance multi-rate transponder chipsets designed
for interconnecting a 2.5Gbps line interface to a custom CMOS ASIC or FPGA. The fully integrated on-chip Phase
Locked Loops (PLLs) on LXT16597 eliminate critical clock/data timing relations and feature the unique dynamic phase-
alignment between ASIC and Multiplexer (MUX). The chipsets are compatible with multiple line rates up to OC-48/STM 16.
Switching between the bit rates is possible on the fly through select pins. The receivers have an input Limiting Amplifier
(LIA), Clock and Data Recovery (CDR) device, Loss of Signal (LOS), and DeMUX and support digital wrapping. The
transmitters are equipped with a MUX and jitter attenuator. All devices support up to 7 percent increased line rate. They
comprise integrated programmable clock dividers for digital wrapping for Forward Error Correction (FEC) applications.
Fractions available are 32/31, 16/15, and 15/14.
2.5Gbps Transmitters
GD16553 4:1 Multiplexer (MUX) with Bypass Capability and Laser Driver
The Intel®GD16553 is a high-performance 2.5Gbps 4:1 Multiplexer (MUX) with on-chip Phase Locked Loop (PLL) and
high current laser driver designed for use in SDH STM 16 or SONET OC-48 fiber-optic communication systems. The
optional bypass capability allows direct 2.5Gbps input to the laser driver. The on-chip PLL synthesizer ensures the 2.5GHz
clock for retiming of the high-speed serial output. The PLL is locked to the external reference clock. The on-chip LC type
VCO ensures low jitter generation of less than 5 mUIRMS. For 78Mbps interface, see our digital support circuits. The
GD16553 has a 4-bit ECL system interface and a supply voltage of –5.2V.
Optical Products developer.intel.com/design/network
102
LXT16653 4:1 Multiplexer (MUX)
The Intel®LXT16653 is a high-performance monolithic integrated Multiplexer (MUX) and clock generator device
applicable for optical communication systems including SONET OC-48 and OC-12. It uses an external reference clock
for accurate clock generation. The Phase Locked Loop (PLL) filter is external for flexible design, giving an excellent low
jitter clock source. The device features VCO, PLL, Clock Divider, MUX, and Phase Nulling Clocking Scheme.
GD16523 Multi-rate 16:1 Multiplexer (MUX)
The Intel®GD16523 are high-performance 2.5Gbps 16:1 multiplexers with on-chip VCO and Phase Locked Loop (PLL)
system, designed for SDH STM 16 and SONET OC-48 transmission systems. The GD16523 is a low-power multi-rate
transmitter with double PLL featuring forward clocking, FIFO and loopback functionality. The GD16523 can also be
configured for dynamic phase alignment. All the transmitters are manufactured in a Silicon Bipolar process and exceed the
ITU-T and Telcordia jitter recommendations.
2.5Gbps Receivers
GD16543 Clock and Data Recovery (CDR) device with 1:4 Demultiplexer (DeMUX)
The Intel®GD16543 receiver features a 1:4 Demultiplexer (DeMUX) with input Limiting Amplifier (LIA) and Clock Data
and Recovery (CDR). It is designed for SDH STM 16 and SONET OC-48 transmission systems. The 622MHz output
clock is maintained within 500ppm tolerance even in absence of data. The device meets the ITU-T and Telcordia jitter
recommendations. For 78Mbps interface, see our digital support circuits.
LXT16642 Clock and Data Recovery (CDR) device with 1:4 Demultiplexer (DeMUX)
The Intel®LXT16642 is a high-performance monolithic integrated Clock and Data Recovery (CDR) device with onboard
1:4 4 Demultiplexer (DeMUX), applicable for optical communication systems including SONET OC-48 and OC-12.
It uses an external reference clock for fast acquisition. The Phase Locked Loop (PLL) filter is external for flexible design,
giving an excellent low jitter clock source. The low power, small physical form factor and the high integration, makes the
device ideal for module, Dense Wavelength Division Multiplexing (DWDM) and backplane applications, as well as solutions
with aggregation points for OC-48 (quad) to OC-192.
GD16524 Multi-rate Clock and Data Recovery (CDR) device with 1:16 Demultiplexer (DeMUX) with
Limiting Amplifier (LIA)
The Intel®GD16524 are high-performance 2.5Gbps receiver devices applicable for SDH STM 16 and SONET OC-48
applications. The devices all comprise a Phase Locked Loop (PLL)-based Clock and Data Recovery (CDR) device with
all circuits needed for fast acquisition and lock to the incoming data. The integrated 1:16 Demultiplexer (DeMUX) ensures
a simple and universal interface to the next device. All three devices have a wide tuning range conforming to standard
SONET/SDH speed and Forward Error Correction (FEC) requirements.
GD16524 is a 3.3V multi-rate transmitter designed for standard SONET/SDH rate and Gigabit Ethernet. GD16524 features
high-speed serial loopback input, peak detection for signal level monitoring and AGC control as well as consecutive identical
bit sequence and BER detection. All the devices conform to ITU-T and Telcordia jitter requirements over supply and
temperature ranges.
developer.intel.com/design/network Optical Products
103
GD16522 Multi-rate 1:1 Clock and Data Recovery (CDR) device with Limiting Amplifier (LIA)
and Loss of Signal (LOS)
GD16546B 1:1 Clock and Data Recovery (CDR) device with Limiting Amplifier (LIA)
GD16547 1:1 Clock and Data Recovery (CDR) device with Limiting Amplifier (LIA)
The Intel®GD16522, Intel®GD16546B and Intel®GD16547 are complete 2.5Gbps Clock and Data Recovery (CDR)
receivers with on-chip Limiting Amplifier (LIA). Device specifications for both jitter transfer, jitter tolerance and jitter
generation exceed the ITU-T and Telcordia recommendations simultaneously over supply and temperature, allowing the
CDR to be used as a regenerator in SONET/SDH systems. All the 1:1 CDRs have a wide tuning range enabling both standard
SONET/SDH speed and Forward Error Correction (FEC) application. The GD16522 is multi-rate STM 1/4/16 and SONET
OC-3/12/48 ideal for high-density applications. It features a consecutive identical bit sequence and BER detection.
2.5Gbps Drivers
GD16578 Laser Modulator Driver with Retiming
The Intel®GD16578 is a 2.5Gbps Retiming Laser Modulator Driver designed to provide a controllable drive current for
optical modulators. Even at the maximum modulation current of 200 mA the device still provides an excellent eye
diagram up to 4Gbps, making it a good price-performance solution for 2.5Gbps transmission. The GD16578 can drive
external loads with a characteristic impedance of 25.
GD16521 Any Rate Laser Driver with Retiming (25)
GD16561 Any Rate Laser Driver with Retiming (50)
GD16571 Any Rate Laser Driver with Retiming (25)
Together with our 16:1 multiplexers, the Retiming Laser Drivers form a low jitter, low-power and cost optimized 2.5Gbps
solution for your SDH or SONET system. The retiming laser drivers have the best eye diagrams in the industry across
the full modulation current range. This allows for a large variety of laser diodes.
GD16573A Any Rate Laser Driver (25)
GD16575A Any Rate Laser Driver (50)
The Intel®GD16573A and Intel®GD16575A Laser Drivers are a cost optimized, low-power solution for your 2.5Gbps
SDH or SONET transmission system. Both are suitable for Electro-Absorption, Direct Modulated and WDM lasers,
the GD16573A being designed to drive a 25W load and the GD16575A a 50W load.
2.5Gbps Amplifiers
GD16511 General-Purpose Limiting Amplifier (LIA)
The Intel®GD16511 is a wide bandwidth Limiting Amplifier (LIA) designed for SDH STM 16 and SONET OC-48
optical communication systems as well as instrumentation and datacom applications up to 3.125Gbps. The GD16511 has
been measured together with a 2.5Gbps Clock and Data Recovery (CDR) circuit, and error-free operation has been measured
down to below 3mVpp input signal (10–9 BER). The amplifier accepts input signals to above 1Vpp. The GD16511 has ECL
system interface and a supply voltage of -5V.
622 or Quad 155Mbps Framer
IXF6012 POS/ATM Framer
See IXF6048.
Optical Products developer.intel.com/design/network
104
155Mbps Receivers/Transmitters
GD16591A Multi-rate 8:1/4:1/2:1 Multiplexer (MUX)
GD16592A Multi-rate Clock and Data Recovery (CDR) device with 1:8/1:4/1:2 Demultiplexer (MUX)
The Intel®GD16591A/GD16592A is a low-power transmitter/receiver chipset designed for multi-rate line interfaces such
as STM 4/OC-12, STM 1/OC-3 and PDH E4. The GD16591A comprises an 8:1/4:/2:1 Multiplexer (MUX) and an
optional forward/counter clocking scheme. The GD16592A comprises an input Limiting Amplifier (LIA), Clock and Data
Recovery (CDR) device and 1:8/1:4/1:2 Demultiplexer (DeMUX). Both devices offer loopback for local and remote
loopback test modes. The devices exceed the ITU-T/Belcore jitter recommendations.
GD16360 Dual Transceiver with Cable Equalizer
The Intel®GD16360 is a fully dual transmit/receive IC for E4/STM 1/OC-3 operation designed for low cost and volume
production. It provides conversion between LVPECL signals and the G.703 line interface featuring two channels, each
with a cable equalizer and Loss of Signal (LOS) detection on the receive side and a cable driver on the transmit side.
LXT6155 SDH SONET/ATM Transceiver
The Intel®LXT6155 is a 155Mbps (OC-3/STM 1/STS-3/3c) high-speed, fully integrated transceiver that supports fiber
and coax transmission on the same chip. Implemented using Intel’s proven mixed-signal design expertise in 3.3V CMOS
technology, it has a small footprint, enabling consumption of 650mW of power (typical) and allowing the integration of
multiple OC-3s on the same board.
155Mbps Mapper
IXF6151 28 T1/E1 Mapper
The Intel®IXF6151 28 T1/E1 Mapper is a universal voice and data communication solution for high-bandwidth access
that maps both T1 and E1 signals over SONET/SDH networks. The flexible, universal design of the IXF6151 enables
OEMs to build one solution that meets the requirements of both SONET and SDH networks and provides interoperability
between the two infrastructures. The IXF6151 allows T1 signals to be carried in an SDH network, further enhancing
flexibility.
155Mbps Receivers
GD16362A Clock Date and Recovery (CDR) CMI Decoder with Equalizer and LOS
GD16368B CMI Decoder with 1:8/1:4/1:2 Demultiplexer (DeMUX)
The Intel®GD16362A and Intel®GD16368B receivers are Clock and Data Recovery devices with CMI to NRZ decoders
designed for reception of PDH, SDH, and SONET signals at 140 and 155Mbps. The 78/70 or 17/19MHz reference clock
enable programmable selection between PDH (E4) and STM 1/OC-3 board configurations for maximum flexibility. The
GD16362A additionally features on-chip true LOS detection (G.775) and an equalizer capable of recovering signals after
more than 25dB (78MHz) cable attenuation. Both devices meet G.751, G.823, and G.825 requirements for jitter tolerance
and jitter transfer. The devices are designed for low cost and volume production using BiCMOS technology.
developer.intel.com/design/network Optical Products
105
155Mbps Transmitters
GD16361A CMI Encoder with Cable Driver
GD16367B 8:1/4:1/2:1 Multiplexer (MUX) with CMI Encoder
The Intel®GD16361A and Intel®GD16367B transmitters are retiming CMI encoders designed for cable transmission
of PDH, SDH, and SONET signals at 140 and 155Mbps. Both devices meet ITU-T G.751, G.823, and G.825
recommendations for jitter tolerance and jitter generation and G.703 for 140 and 155Mbps CMI interface (return loss and
transmit power). The devices are designed for low cost and volume production using BiCMOS technology.
Digital Support Circuits
GD16590 General-Purpose Multi-frequency Clock Synthesizer
The Intel®GD16590 is a 3.3V high-speed multi-rate clock synthesizer, with three selectable reference clock inputs and six
output clocks at fixed rates from 38.88 to 1.244MHz, intended for clock distribution in SONET/SDH applications by
using the internal Voltage Control Oscillator (VCO). The device can also be used with an external VCXO. The GD16590
features an integrated Phase Locked Loop (PLL) with on-chip low noise VCO. Selectable subdivided output clocks without
phase skew. It exceeds the ITU-T and Telcordia jitter requirements and is available in a 48-pin TQFP package (7x7 mm).
GD16590 is a general-purpose PLL intended for jitter clean-up, phase compensation and frequency synthesis application in
high-speed digital systems.
LXT16598 Multi-frequency Clock Synthesizer
The Intel®LXT16598 is a 3.3V generic high-speed multi-rate clock synthesizer consisting of a Phase Frequency
Comparator and a wide-tune range Voltage Control Oscillator (VCO). The device supports up to three external
VCSOs/VCXOs (Voltage Control Saw/Crystal Oscillator). The LXT16598 features an integrated Phase Locked Loop (PLL)
with on-chip low noise VCO. Four selectable subdivided output clocks without phase skew at programmable rates from
9.00 to 809MHz, suitable for Forward Error Correction (FEC) operations in 2.5Gbps and 10Gbps applications. It exceeds
the ITU-T and Telcordia jitter requirements and is available in a 48-pin QFN package (7x7 mm). The LXT16598 is a general-
purpose PLL intended for FEC gear-box as a one chip solution for synchronous clocking designs in FEC applications, jitter
clean-up, phase compensation and frequency synthesis application in high-speed digital systems.
GD16333 4:32 Demultiplexer (DeMUX)
GD16334 32:4 Multiplexer (MUX)
Our digital support circuits are cascadable quad multiplexers and demultiplexers designed to interface high-speed primary
Multiplexer/Demultiplexer (MUX/DeMUX) devices at 622Mbps and low-speed CMOS framers at 78Mbps. Each
device contains circuitry for control and synchronization of an array of slave devices. The devices are composed of four
identical MUX/DeMUX blocks with a common clock and synchronization block. Combined with our 10Gbps transmitter
and receiver chipsets, these interface devices provide a simple and complete solution for SDH and SONET system designs.
Optical Products developer.intel.com/design/network
106
OPTICAL PRODUCTS OVERVIEW
SYSTEM PART NUMBER FUNCTION APPLICATION
OC-192/10GbE IXF18101 10Gbps Physical Layer Device for STS-192c/STM 64c POS/GFP Core and Metro Routers, Enterprise Routers and Switches, MSPPs, Storage
and 10GbE LAN and WAN Area Applications, Metro Transport and DWDM
OC-192 IXF18102 10Gbps Physical Layer Device for STS-192c/STM 64c POS/GFP Core and Metro Routers, Enterprise Routers and Switches, MSPPs, Storage
Area Applications, Metro Transport and DWDM
10GbE IXF18103 10Gigabit Ethernet LAN or WAN PHY Core and Metro Routers, Enterprise Routers and Switches, MSPPs, Storage
Area Applications, Metro Transport and DWDM
10GbE IXF18104 10Gigabit Ethernet LAN PHY Core and Metro Routers, Enterprise Routers and Switches, MSPPs, Storage
Area Applications, Metro Transport and DWDM
OC-192 IXF30001 G.975 10.7Gbps Forward Error Correction Device ~6dB gain DWDM equipment, FEC regenerators, long-haul networks, Cross Connects
OC-192 IXF30003 G.975 10.7Gbps Forward Error Correction Device with Performance DWDM equipment, FEC regenerators, long-haul networks, SONET/SDH
Monitoring ~6dB gain performance monitoring
OTN IXF30005 G.709 10.7Gbps Digital Wrapper and Forward Error Correction Device DWDM equipment, OTN Optical Transport Networks, FEC regenerators,
submarine/ULH network SONET/SDH performance monitoring
OTN IXF30007 G.709 10–13Gbps Digital Wrapper with Enhanced FEC DWDM equipment, OTN Optical Transport Networks, FEC regenerators,
up to 9dB net electrical coding gain submarine/ULH network SONET/SDH performance monitoring, ultra long
haul and submarine networks
OTN/10GbE IXF30009 G.709 10.7Gbps Optical Transport Processor and Ultra Forward Error Correction OTN Optical Transport Network, FEC regenerators, SONET/SDH add/drop
10dB net electrical coding gain multiplexers, submarine and ULH FEC applications, metro and core networks,
10GE LAN transport and monitoring, multi-services switches, Cross Connects
OTN IXF30025 G.709 2.66 Gbps Digital Wrapper and Forward Error Correction Device DWDM equipment, OTN Optical Transport Network, IP transport equipment,
gigabit and terabit routers, ATM Switches, Layer 3 switches, multi-services
switches, Cross Connects ~6dB gain
OC-3 to OC-48 IXF25300 SONET/SDH Framer, STS-1, VT/TU Pointer Processing MSPP, ADM, Edge Box, Core Switch, Cross Connects
OC-12/OC-3 WB1400 SONET/SDH Framer ADM, Switches, MSPP, Access Concentrators, STM-1, STM-4
OC-12/OC-3 WB1500 SONET/SDH Framer ADM, Switches, MSPP, Access Concentrators, STM-1, STM-4
OC-12/OC-3 WB1501 SONET/SDH Framer ADM, Switches, MSPP, Access Concentrators, STM-1, STM-4
OC-12/OC-3 WB1510 SONET/SDH Framer w/CDR ADM, Switches, MSPP, Access Concentrators, STM-1, STM-4
OC-48/OC-12 WB4500 SONET/SDH Framer Ethernet over SONET, RPR, ATM, POS, LAPS, TDM
0C-3 to OC-192 IXF193XX Bandwidth aggregation and channelizer, virtual concatenation POS, GFP, X.86, X.85, ATM, LAPS
0C-3 to OC-192 IXF19302 Bandwidth aggregation and channelizer POS, GFP, X.86, X.85, ATM, LAPS
OC-192 LXT12102 XAUI to 10G Serial Transceiver XENPAK/XPAK/X2 MSA, XAUI-to-10G serial line card (XFP)
OC-192 LXT13002 10G L/A W/LOS OC-192, 10G Transceivers, FC-10, 10 Gbps Ethernet Serial LAN, MAN,
WAN Systems
OC-192 LXT16588 10.66Gbps CDR with 1:16 DeMUX STM 64, OC-192 and out-of-band FEC applications
OC-192 LXT16589 10.66Gbps 16:1 MUX with Retiming STM 64, OC-192 and out-of-band FEC applications
OC-192 LXT16706 9.95Gbps–10.7G CDR with 1:16 DeMUX STM 64, OC-192 and out-of-band FEC applications
OC-192 LXT16707 9.95Gbps–10.7G 16:1 MUX with Retiming and 2-bit FIFO STM 64, OC-192 and out-of-band FEC applications
OC-192 LXT16713 9.95Gbps–11.1Gbps Clock and Data Recovery STM 64, OC-192 and out-of-band FEC applications
OC-192 LXT16713A 9.95Gbps–11.1Gbps Clock and Data Recovery STM 64, OC-192 and out-of-band FEC applications
OC-192 LXT16726 9.95Gbps–11.1Gbps CDR with 1:16 DeMUX STM 64, OC-192 and out-of-band FEC applications
OC-192 LXT16727 9.95Gbps–10.7G 16:1 MUX with Retiming and 9-bit FIFO STM 64, OC-192 and out-of-band FEC applications
OC-192 LXT16715 10G XFP Transceiver, CDR OC-192, STM64, OTN, FEC, Submarine Sysems, GFC, fiber-optical test
equipment, 10GbE
OC-192 LXT16723 10 Gbps 1:1 CDR OC-192, STM64, OTN, FEC, Submarine Sysems, GFC, fiber-optical test
equipment, 10GbE
OC-192 LXT16865 10G High Gain T/A STM64, OC-192, DWDM, FEC, OTN
OC-192 LXT17001 Low Current Laser Driver VSCEL Driver for 10GbE and OC-192
OC-192 LXT17011 High Current Laser Driver Laser Driver for 10GbE and OC-192
OC-192 LXT17031 10Gbps Electro-Absorption Modulator (EAM) Driver STM 64, OC-192, DWDM, FEC, OTN
developer.intel.com/design/network Optical Products
107
OPERATING POWER SUPPLY OPERATING SYSTEM INTERFACE POWER CONSUMPTION PACKAGE
SPEED TEMPERATURES (TCASE)
10/10.3Gbps 1.2/1.8/2.5V; -40°C to +85°C LVDS 5.2W 672 UBGA
3.3V I/O tolerant
10Gbps 1.2/1.8/2.5V; -40°C to +85°C LVDS 4.7W 672 UBGA
3.3V I/O tolerant
10.3Gbps 1.2/1.8/2.5V; -40°C to +85°C LVDS 5.2W 672 UBGA
3.3V I/O tolerant
10.3Gbps 1.2/1.8/2.5V; -40°C to +85°C LVDS 4.7W 672 UBGA
3.3V I/O tolerant
10.7Gbps 1.8V, 2.5V, 3.3V -40°C to +85°C 622, 666 LVDS 3.5W max 576 TBGA
10.7Gbps 1.8V, 2.5V, 3.3V -40°C to +85°C 622, 666 LVDS 3.5W max 576 TBGA
10.7Gbps 1.8V, 2.5V, 3.3V -40°C to +85°C 622, 669 Mbps LVDS 3.1W typical 576 TBGA
up to 12.5 Gbps 1.5V, 2.5V, 3.3V -40°C to +85°C 622, 669 Mbps LVDS 4W 420 TBGA 35x35 mm
up to 12.5 Gbps 1.5V, 2.5V, 3.3V -40°C to +85°C 622, 669 Mbps LVDS TBD 868 TBGA
2.7Gbps 2.5V; 3V I/O tolerant -40°C to +85°C 155, 166 LVDS 2W 576 TBGA
2.5Gbpt to 10Gbps -40°C to +85°C 155 LVDS, 622 LVDS 3.4W
2.5Gbps 1.5V, 2.5V, 3.3V -40°C to +85°C .25µ CMOS 3.4V 648-Pin PBGA
2.5Gbps 1.5V, 2.5V, 3.3V -40°C to +85°C .25µ CMOS 3.4V 648-Pin PBGA
2.5Gbps 1.5V, 2.5V, 3.3V -40°C to +85°C .25µ CMOS 3.4V 648-Pin PBGA
2.5Gbps 1.5V, 2.5V, 3.3V -40°C to +85°C .25µ CMOS 3.4V 648-Pin PBGA
2.5Gbps 1.5V -40°C to +85°C .14µ CMOS 3.5V 850-Pin PBGA
10Gbps, 5Gbps, 2.5Gbps 1150-Pin UBGA
10Gbps 1150-Pin UBGA
10.3Gbps 1.2V Core Supply 0°C to +70°C XAUI 1.9–2.2W 192-pin BGA
10.7Gbps 1.8V/3.3V -40°C to +85°C NA -342mW Die/MLF32
10.7Gbps +3.3V 0°C to +70°C LVDS 3.4W 132-pin BGA
10.7Gbps +3.3V 0°C to +70°C LVDS 3.4W 132-pin BGA
10–10.7Gbps 1.8/3.3V -40°C to +85°C LVDS 0.75W 132-pin BGA
10–10.7Gbps 3.3V -40°C to +85°C LVDS 0.75W 132-pin BGA
10–10.7Gbps 3.3V 0°C to +85°C CML 0.4–0.5W 42-ball PBGA
10–10.7Gbps 3.3V 0°C to +85°C CML 0.4–0.5W 24-pin QFN
10–10.7Gbps 1.8/3.3V -40°C to +85°C LVDS 0.75W 132-pin BGA
10–10.7Gbps 3.3V -40°C to +85°C LVDS 1.1W 132-pin BGA
9.95–11.1Gbps 1.8V/3.3V O°C to +85°C CML 650–700mW 49-ball BGA
9.96–11.1Gbps 1.8V/3.3V O°C to +85°C CML 325-350mW 24-pin QFN
10.7Gbps +3.3V O°C to +85°C Serial -130mW Die
10Gbps -1.8V Core Supply -40°C to +85°C NA 500mW Die
10Gbps -1.8V Core Supply -40°C to +85°C NA 500mW Die
10.7Gbps -5.2V -40°C to +85°C CML 0.8W Die/QFN32
Optical Products developer.intel.com/design/network
108
OPTICAL PRODUCTS OVERVIEW
SYSTEM PART NUMBER FUNCTION APPLICATION
OC-48 GD16511 General-purpose Limiting Amplifier STM 16/OC-48, 4x3.125Gbps for 10Gb Ethernet WDM PHY modules
OC-48 GD16521 Any Rate Laser Driver with Retiming (25) SDH STM 16, SONET OC-48
OC-48 GD16522 Multi-rate 1:1 CDR with Limiting Amplifier and LOS SDH STM 16, SONET OC-48
OC-48 GD16523 Multi-rate 16:1 MUX SDH STM 16, SONET OC-48
OC-48 GD16524 Multi-rate CDR with 1:16 DeMUX with Limiting Amplifier SDH STM 16, SONET OC-48
OC-48 GD16543 CDR with 1:4 DeMUX SDH STM 16, SONET OC-48
OC-48 GD16546B 1:1 CDR with Limiting Amplifier SDH STM 16, SONET OC-48
OC-48 GD16547 1:1 CDR with Limiting Amplifier SDH STM 16, SONET OC-48
OC-12 IXF6012 Multi-speed OC-12, x4 OC-3/1 SONET/SDH ATM/POS Overhead Terminator ATM Switches, Layer 3 switches, multi-services switches, gigabit and terabit
routers, DWDM equipment, IP transport equipment, LAN/WAN interfaces,
DSLAMs, Central Office, Cross Connect, Digital Loop Carrier
OC-12 GD16591A Multi-rate 8:1/4:1/2:1 MUX STM 4/OC-12, STM 1/OC-3, PDH E4
OC-12 GD16592A Multi-rate CDR with 1:8/1:4/1:2 DeMUX SDH STM 16, SONET OC-48
OC-3 LXT6155 155Mbps SONET/SDH/ATM Transceiver drives Fiber or Coax Multi-services switches, DSLAMs, Gateways, Central Office Switch, Cross
Connects, Digital Loop Carrier, 3G Mobile Switch Centers
OC-3 GD16361A CMI Encoder with Cable Driver PDH, SDH and SONET at 140 and 155Mbps
OC-3 GD16362A CDR CMI Decoder with Equalizer and LOS PDH, SDH and SONET at 140 and 155Mbps
OC-3 GD16367B 8:1/4:1/2:1 MUX with CMI Encoder PDH, SDH and SONET at 140 and 155Mbps
OC-3 GD16368B CMI Decoder with 1:8/1:4/1:2 DeMUX PDH, SDH and SONET at 140 and 155Mbps
OC-3 LXT6151 28T1/21E1 VT/TU SONET/SDH Mapper PDH, SDH and SONET at 140 and 155Mbps
Various GD16333 4:32 DeMUX SONET/SDH
Various GD16334 32:4 MUX SONET/SDH
Various GD16360 Dual Transceiver with cable Equalizer, LOS detection and Cable Driver Multi-services switches, ADMs, Central Office Switch, Cross Connects, Digital
Loop Carrier, 3G Mobile Switch Centers
Various GD16590 General-Purpose Multi-frequency Clock Synthesizer E4/STM 1/OC-3
developer.intel.com/design/network Optical Products
109
OPERATING POWER SUPPLY OPERATING SYSTEM INTERFACE POWER CONSUMPTION PACKAGE
SPEED TEMPERATURES (TCASE)
3.5Gbps +3.3V -40°C to +85°C CML 0.13W 32-pin TQFP
2.7GHz +3.3V -40°C to +95°C CML/LVPECL 0.6W+bias+mod 48-pin TQFP
2.7GHz +3.3V -40°C to +85°C 0.6W 48-pin TQFP
2.7GHz +3.3V -40°C to +85°C LVPECL 0.8W 100-pin TQFP
2.7GHz +3.3V -40°C to +85°C LVPECL 0.8W 100-pin TQFP
2.7GHz -5.2V 0°C to +85°C LVPECL 1.1W 48-pin TQFP
2.7GHz -5.2V -5°C to +95°C 1.0W 48-pin TQFP
2.7GHz -5.2V -5°C to +95°C 1.0W 48-pin TQFP
2.7GHz -5.2V -40°C to +85°C Utopia 1,2,3/POS Utopia 1,2,3 0.8W max 600 PBGA
622MHz +3.3V -25°C to +85°C 0.40W 48-pin TQFP
622Mbps, 155Mbps, 3.3V; 5V tolerant I/O -25°C to +85°C 0.45W 48-pin TQFP
55Mbps
622Mbps 3.3V, 5V tolerant I/O 0°C to +75°C 155 Serial PECL or CMI, 760mW typical 64 LQFP
8-bit parallel
155Mbps +3.3V, +5.0V -40°C to +85°C LVPECL 0.5W 28-pin SSOP
155Mbps +3.3V, +5.0V -40°C to +85°C LVPECL 0.5W 28-pin SSOP
155Mbps +3.3V, +5.0V -40°C to +85°C CMOS 0.4W 52-pin PQFP
155Mbps 3.3V 0°C to +75°C CMOS 0.6W 52-pin PQFP
155Mbps +3.3V, +5.0V T1/E1 NRZ serial Data. Telecom 256 PBGA
Bus for STS 3/STM 1 Multiplexing
622MHz 5.0V -5°C to +85°C TTL 1.0W 100-pin QFP
800MHz 0°C to +70°C TTL 1.3W 100-pin QFP
55Mbps 3.3V, 5V tolerant I/O 5°C to +85°C LVPECL 0.50W 48-pin TQFP
1244MHz +3.3V 0°C to +70°C TTL 1.0W 100-pin QFP
Optical Products developer.intel.com/design/network
110
developer.intel.com/design/network Silicon Transmission Products
111
INTEL®TELECOM PRODUCTS INTRODUCTION
Intel, the world’s largest chipmaker, is also a leading manufacturer of computer, networking and communications products.
Intel®communications systems products offer developers, service providers, resellers and communications system owners
what they need to succeed in the new world of converged voice and data communications. This includes a broad family of
building blocks, a global network of solutions providers, and comprehensive support and consulting services.
Ranging from silicon to server software, Intel®building blocks meet the converged communications needs of environments
as diverse as enterprise organizations and service providers. These building blocks include voice, fax, conferencing and
speech technologies; telephone and IP network interfaces; PBX integration products; carrier-class, board systems-level
products, platforms, transmission products; and more. Intel®communications building blocks enable new, converged Web
services including Internet voice browsing, Web-enabled contact centers and true unified messaging.
For more information on these products, visit http://www.intel.com/products/index.
SILICON TRANSMISSION PRODUCTS
T1/E1/J1 Transmission Solutions
T1/E1/J1 technology is the standard in the telecommunications industry, providing the foundation from which DSL, PDH,
SDH, and ATM technologies deliver narrowband and broadband applications using the existing infrastructure. For an
industry facing a wide variety of WAN access choices in the coming years, T1/E1/J1 will remain the transport channel of
choice because of its availability, backward compatibility, and cost-effectiveness. The T1/E1/J1 product group consists of the
following families: (1) Analog Front Ends (AFE), (2) Short Haul T1/E1/J1 Line Interface Units (LIU), (3) Long Haul
T1/E1/J1 Line Interface Units and (4) T1/E1/J1 framers.
Digital Subscriber Line (DSL) Solutions
DSL technologies are transport mechanisms for delivering high-bandwidth digital data services over twisted-pair
copper wires—the cabling now in place between telephone companies’ central offices and subscribers. HDSL2 is the
latest advancement in symmetric DSL technology delivering 1.544Mbps (DS-1/T1) data rates up to 12,000 feet on a single
twisted pair.
Intel
®
Telecom Products
Silicon Transmission Products developer.intel.com/design/network
112
SILICON TRANSMISSION PRODUCT LINE SUMMARY
The following table summarizes the networking product application features. For full information refer to the product datasheets.
APPLICATIONS PART NUMBER FEATURES
Integrated T1/E1/J1 Short Haul Line Interface Units
E1 Digital Cross Connects LXT380 Octal E1 Short Haul transceiver per ITU G.703 Single rail supply
SDH E1 Tributary Interfaces voltage of 3.3V with 5V I/O capability Hitless Protection Switching
Public Switching Trunk Line Interfaces (HPS) for 1 to 1 protection without relays Low power consumption
Microwave Transmission Systems of <100 mW per channel (typical) 75/120TX operation without
Access Systems component changes Driver short circuit current limiter (<50 mA
Add Drop Multiplexers (ADM) RMS) Transmit return loss exceeds ETSI ETS 300166 Selectable
optional transmit pulse shape PLL Optional per channel clock
recovery Optional HDB3 line encoder/decoder On-chip secondary
driver short circuit monitoring circuit Provides protected monitoring
points per ITU G.772 Analog/digital and remote loopback testing
function LOS per ITU G.775 and ETS 300 233 (selectable)
Optional 8-bit parallel or 4 wire serial control interface JTAG
Boundary Scan test port per IEEE 1149.4 Small footprint 144-pin
LQFP and 160-pin BGA Host mode (parallel or serial) and
Hardware mode
SDH E1 tributary interfaces LXT381 Single rail 3.3V supply with 5V tolerant inputs Hitless Protection
Public switching trunk line interfaces Switching (HPS) for 1 to 1 protection without relays Low power
Digital Access Cross Connects (DAC) consumption of <100 mW per channel (typical) 75/120TX
Channel banks operation without component changes Fast output driver tri-
Microwave transmission systems statability Transmit return loss exceeds ETSI ETS 300166 LOS per
ITU G.775 JTAG Boundary Scan test port per IEEE 1149.1 144-pin
Tools = LXT380, LXT384 Design Assistant LQFP and 160-pin BGA Packages Hardware mode only
SONET/SDH Tributary Interfaces LXT384 Single rail 3.3V supply with 5V tolerant inputs Low power
Digital Cross Connects consumption of 150 mW per channel (typical) Hitless Protection
Public/Private Switching Trunk Line Interfaces Switching (HPS) for 1 to 1 protection without relays Superior crystal-
Microwave Transmission Systems less jitter attenuator Meets ETSI CTR12/13, ITU G.736, G.742,
T1-T3, E1-E3 MUX G.823 and AT&T Pub 62411 specifications Optimized for
Access Systems SONET/SDH applications, meets ITU G.783 mapping jitter
specification Constant throughput delay jitter attenuator HDB3,
B8ZS, or AMI line encoder/decoder Provides protected monitoring
points per ITU G.772 Analog/digital and remote loopback testing
functions LOS per ITU G.775, ETS 300 233 and T1.231 8-bit
parallel or 4 wire serial control interface Hardware and Software
control modes JTAG Boundary Scan test port per IEEE 1149.1
160-pin PBGA and 144-pin LQFP
LXT386 4 Channel Version of LXT384
LXT388 2 Channel Version of LXT384 Driver Performance Monitor (DPM)
Tx and Rx Jitter Attenuator 100-pin LQFP
developer.intel.com/design/network Silicon Transmission Products
113
APPLICATIONS PART NUMBER FEATURES
Switched 56/DDS
Frame Relay DDS and SW/56 DSUs LXT400 Fully integrated all-rate extended range transceiver Receive
Leased-line DDS equalizer filter can handle up to 40 dB at rates below 56 Kbps, and
Internet Service Provider (ISP) Equipment up to 49 dB at 56 Kbps and 72 Kbps
Frame Relay Access Devices (FRAD)
T1/E1/J1 Long Haul Line Interface Units
Access Systems LXT360/LXT361 Fully integrated transceivers for Long or Short Haul T1 or E1
ISDN Primary Rate Interface (ISDN PRI) interfaces Crystal-less digital jitter attenuation: Select either transmit
CSU/NTU interface to T1 Service or receive path, no crystal or high-speed external clock required
Wireless Base Station interface Meet or exceed specifications in ANSI T1.403 and T1.408; ITU
T1 LAN/WAN bridge/routers I.431, G.703, G.736, G.775 and G.823; ETSI300-166 and 300-233;
T1 Mux; Channel Banks and AT&T Pub 62411 Support 75(E1 coax), 100(T1 twisted-
Digital Loop Carrier—Subscriber Carrier pair) and 120(E1 twisted-pair) applications Selectable receiver
Systems sensitivity—Fully restores the received signal after transmission
through a cable with attenuation of either 0 to 26 dB, or 0 to 36 dB
@772 kHz and 0 to 43 dB @ 1024 kHz Five Pulse Equalization
Settings for T1 Short Haul applications Four Line Build-Outs for T1
Long Haul applications from 0 dB to -22.5 dB Transmit/receive
performance monitors with Driver Fail Monitor Open and Loss of
Signal outputs Selectable unipolar or bipolar data I/O and
B8ZS/HDB3 encoding/decoding Line attenuation indication output
in 2.9 dB steps QRSS generator/detector for testing or monitoring
Output short circuit current limit protection Local, remote and
analog loopback, plus in-band network loopback generation and
detection Multiple-register serial interface for microprocessor control
Available in 28-pin PLCC, and 44-pin PQFP packages
OC3/STM-1 Line Card
155 Mbps Transceiver LXT6155 155Mbps (OC-3/STM 1/STS-3/3c) high-speed, fully integrated
transceiver that supports fiber and coax transmission on the same chip.
Implemented using Intel’s proven mixed-signal design expertise in
3.3V CMOS technology, it has a small footprint, enabling consumption
of 650mW of power (typical) and allowing the integration of multiple
OC-3s on the same board.
155Mbps Mapper IXF6151 Universal voice and data communication solution for high-bandwidth
access that maps both T1 and E1 signals over SONET/SDH networks.
The flexible, universal design of the IXF6151 enables OEMs to build
one solution that meets the requirements of both SONET and SDH
networks and provides interoperability between the two
infrastructures. The IXF6151 allows T1 signals to be carried in an
SDH network, further enhancing flexibility.
T1/E1/J1 Framers
Voice and ATM Gateways IXF3204 Quad and Octal T1/E1/J1 Framer with Intel®On-Chip Performance
T1/E1/J1 Access IXF3208 Report Messaging (Intel®PRM). IXF3204/08 has independent channel
IMAPs control and 24 HDLCs (V5.1 and 5.2, GR-303 support for DLCs).
Integrated Access Devices (IAD) Extensive BERTs, and counters for Intel PRM. T1/E1/J1 framer with
Inverse Multiplexing over ATM (IMA) cards Intel PRM and API and GUI speeds design and or saves license
Wireless Base Stations fees. Single BOM for world markets. Available in 256 PBGA
Frame Relay Access Devices (17x17 mm).
Silicon Transmission Products developer.intel.com/design/network
114
T1/E1/J1 TRANSMISSION LINE CARD—SHORT HAUL LINE INTERFACE UNITS
NETWORK LINE POWER JITTER JA µP
DEVICE TYPE PORTS RATE SUPPLY ATTENUATOR ARCHITECTURE HPS JTAG INTERFACE PACKAGE
LXT350 SH LIU 1 T1/E1/J1 5V Tx or Rx Crystal-less Serial 28 PLCC/44 QFP
LXT351 SH LIU 1 T1/E1/J1 5V Tx or Rx Crystal-less Parallel 28 PLCC/44 QFP
LXT380 SH LIU 8 E1 3.3V Yes Yes Serial/Parallel 144 LQFP/160 BGA
LXT381 SH AFE 8 E1 3.3V Yes Yes Serial/Parallel 144 LQFP/160 BGA
LXT384 SH LIU 8 T1/E1/J1 3.3V Tx or Rx Crystal-less Yes Yes Serial/Parallel 144 LQFP/160 BGA
LXT386 SH LIU 4 T1/E1/J1 3.3V Tx or Rx Crystal-less Yes Yes Serial/Parallel 100 LQFP/160 BGA
LXT388 SH LIU 2 T1/E1/J1 3.3V Tx and Rx Crystal-less Yes Yes Serial/Parallel 100 LQFP
T1/E1/J1 TRANSMISSION LINE CARD—LONG HAUL LINE INTERFACE UNITS
NETWORK LINE POWER JITTER JA µP
DEVICE TYPE PORTS RATE SUPPLY ATTENUATOR ARCHITECTURE HPS JTAG INTERFACE PACKAGE
LXT360 LH/SH LIU 1 T1/E1 5V Tx or Rx Crystal-less Serial 28 PLCC/44 QFP
LXT361 LH/SH LIU 1 T1/E1 5V Tx or Rx Crystal-less Parallel 28 PLCC/44 QFP
T1/E1/J1 TRANSMISSION LINE CARD—FRAMERS
NETWORK LINE POWER SPECIAL µP
DEVICE TYPE PORTS RATE SUPPLY FEATURES JTAG INTERFACE PACKAGE
IXF3204 framer 4 T1/E1/J1 3.3V PRM Yes Serial 256 PBGA
IXF3208 framer 8 T1/E1/J1 3.3V nPRM Yes Serial 256 PBGA
OC3/STN-1 LINE CARD
SYSTEM PART NUMBER FUNCTION APPLICATION
OC-3 LXT6155 155Mbps SONET/SDH/ATM Transceiver drives Fiber or Coax Multi-services switches, DSLAMs, Gateways, Central Office Switch, Cross
Connects, Digital Loop Carrier, 3G Mobile Switch Centers
OC-3 LXT6151 28T1/21E1 VT/TU SONET/SDH Mapper PDH, SDH and SONET at 140 and 155Mbps
developer.intel.com/design/network Silicon Transmission Products
115
APPLICATIONS PART NUMBER FEATURES
Digital Subscriber Line Products
HDSL SK70704/SK70706 HDSL chipset for 784 Kbps data transmission Fully-integrated
T1 or E1 (2-pair) and fractional T1 analog core chip Integrated activation/start-up Optimized for
or E1 transport one-pair operation
Digital pair-gain
Wireless base stations to switch interfaces
Campus and private networking
High-speed digital modems
SK70704/SK70707 HDSL chipset for 1168 Kbps data transmission Fully-integrated
or SK70708 analog core chip Integrated activation/start-up Optimized for
one-pair operation
Multi-Rate DSL SK70720/SK70721 Chipset configurable to 272, 400, 528, and 784 Kbps data
High-speed residential Internet access transmission Fully integrated analog core chip Supports transparent
Extended range fractional T1/E1 transport repeater applications without an external processor or glue-logic
4-, 6-, 8- or 12-channel digital pair-gain Supports processor directed rate selection driven by receive signal
Wireless base station to switch access level and noise margin Continuously adaptive echo canceller and
WAN access for LAN routers equalizers perform to changing noise and line characteristics
SK70721/SK70725 Fully integrated, 2-chip transceiver Supports 272–1,168 Kbps data
or SK70725A transmission Integrated line drivers, filters and analog echo canceller
reduce the number of external components Multiple framing modes:
Transparent, T1 standard, E1 standard Independent transmit and
receive clocks for minimum delay Tolerance for extended signal
interruptions Single +5V supply Typical power dissipation less than
500 mW Supports processor directed rate selection driven by receive
signal level and noise margin Continuously adaptive echo canceller
and equalizers maintain excellent transmission performance with
changing noise and line characteristics
LXP730 Complements the SK70725/25A/21 Synchronization of external
data streams to the DSL Multiplexing and demultiplexing of
independent data streams Loopback of data at DSL interface in both
directions Creation, insertion, and recovery of the MDSL Overhead
(MOH) Supports two input/output data streams simultaneously
Supports systems with point-to-point architectures
HDSL2 SK7074x
Single pair T1 transport systems
Multichannel digital pair-gain systems
WAN access for LAN routers and switches
Integrated access devices (IAD)
Wireless access systems
SK70740/41/42
SK70740/44
Silicon Transmission Products developer.intel.com/design/network
116
DIGITAL SUBSCRIBER LINE (DSL) PRODUCTS LINE CARD
HDSL CHIPSET MULTI-RATE DSL (MDSL) CHIPSET HDSL2 CHIPSET
ACC SK70704 SK70704 SK70704 SK70720 SK70725 SK70725A SK70740
HDX SK70706 SK70707 SK70708 SK70721 SK70721 SK70721 SK70741
Framer LXP730 LXP730 SK70742
SK70744
Description T1 HDSL Chipset E1 HDSL Chipset E1 HDSL Chipset Multi-Rate DSL Enhanced Multi-Rate Enhanced Multi-Rate HDSL2 Chipset
DSL Data Pump Chipset DSL Data Pump Chipset DSL Data Pump Chipset
(w/Microinterruption)
Speed (Kbps) 784 Kbps 1168 Kbps 1168 Kbps 272 Kbps–784 Kbps 272 Kbps–1168 Kbps 272 Kbps–1168 Kbps 1552 Kbps
Reference Clock (MHz) 12.544 MHz 18.688 MHz 18.688 MHz Variable Variable Variable 21.5 MHz
Power Supply (Volts) 5V 5V 5V 5V 5V 5V 2.5V, 3.3V, 5V
Power Consumption/port <1.0W <1.2W <1.2W <1.2W <1.2W <1.2W <1.8W
(Watts)
Integrated Line Driver ••••
Microprocessor Interface •••••
Ext. Temp -40°C to +85°C •••••
SUPPORTED STANDARDS
ETSI ••
ANSI ••••
ITU ••••
SUPPORTED LINE CODING
2B1Q ••••
TCPAM
APPLICATIONS
T1 (2 Pair) •••
T1 (1 Pair)
Fractional T1 •••
E1 (2 Pair) ••
Fractional E1 ••
N Channel Digital Pair-Gain •••••
Wireless Base Station •••••
to Switch Interface
Campus and Private •••••
Networking
High-Speed Digital •••••
Modems
WAN Access for LAN •••••
Routers and Switches
PACKAGES
ACC 28 PLCC 28 PLCC 28 PLCC 44 PLCC 44 PLCC 44 PLCC 64 QFP
HDX 44 PLCC 68 PLCC 44 PLCC 28 PLCC 28 PLCC 28 PLCC 64 QFP, 100 QFP
Framer 64 LQFP 64 LQFP 64 QFP
developer.intel.com/design/network Telecom Boards and Platforms
117
Telecom Boards and Platforms
INTEL®NETSTRUCTURECOMPUTE BOARDS AND PLATFORMS
developer.intel.com/design/network/products/cbp/index.htm
Intel provides modular building blocks for the telecommunications market segment. Based on industry standards, including
AdvancedTCA* and CompactPCI*, Intel boards and platforms offer carrier-grade, high-density computing solutions
featuring high availability, hot-swappable components and computer telephony capabilities. Components are designed to be
NEBS-3/ETSI-compliant and feature IPMI v1.5-based management.
Intel®NetStructuresolutions empower development of differentiated, reliable, Intelligent Network (IN) services for the
converged IP network. The Intel®embedded processor roadmap is built on performance scalability to ensure an extended
product life cycle, while standards-based hardware and software building blocks support efficient and cost-effective time-to-
market.
Complete Development Solutions
Intel provides technical and sales support for customers designing with Intel®NetStructure boards, platforms, and
components. This support includes value-add operating system support with device drivers for Redundant System Slot
(RSS) applications, system management features and functionality, hot swap, and BIOS innovations.
In addition, when faced with complex design issues in a tight timeframe, look to the Intel®Communications Alliance
members for complete, standards-based development solutions to help you move products to market on YOUR schedule.
Discover ready-to-buy boards and platforms based on embedded Intel®Architecture that can save you development time and
money, allowing you to focus resources on value-add functionality. You’ll realize the benefits of price, flexibility and
scalability for a variety of embedded, communications, and networking applications.
www.intel.com/info/eia
Intel®NetStructureBoards and Platforms: Designed for AdvancedTCA* Specifications
Intel is meeting the diverse design challenges of system developers in the areas of availabilty, density, price, performance
and support for service applications with AdvancedTCA-compliant boards and platforms. Based on the PICMG* 3.x
specification, this open, board-based, carrier-grade architecture can achieve levels of backplane interconnect bandwidth,
peformance and flexibility not previously possible in standards-based products.
ADVANCEDTCA*-COMPLIANT PROCESSOR BOARDS
PRODUCT NUMBER PRODUCT NAME PROCESSOR SPEED CHIPSET MEMORY CHASSIS COMPATIBILITY ETHERNET SUPPORT
MPCBL0001FO3/FO4 High-Performance Low Voltage Intel®Xeon2.0 GHz with Intel®E7501 Up to 4 GB DDR 266 MPCHC0001 ATCA 2x 1000/100/10
Single Board Computer processor Fibre Channel chipset ECC SDRAM 14U Chassis to the backplane
MPCBL0001NO3/NO4 High-Performance Low Voltage Intel®Xeon2.0 GHz without Intel®E7501 Up to 4 GB DDR 266 MPCHC0001 ATCA 2x 1000/100/10
Single Board Computer processor Fibre Channel chipset ECC SDRAM 14U Chassis to the backplane
ADVANCEDTCA*-COMPLIANT PLATFORMS
PRODUCT NUMBER PRODUCT NAME TOTAL SLOTS POWER FANS HEIGHT DEDICATED CHASSIS MANAGEMENT SLOTS
MPCHC0001 14U Shelf 14 200W/slot 6 24.4" (621mm) 2
ADVANCEDTCA*-COMPLIANT PLATFORM COMPONENTS
PRODUCT NUMBER PRODUCT NAME COMPATIBILITY SIZE FEATURES
MPCMM0001 Chassis Management MPCBL0001FO3/FO4 SBC 177mm x 300mm x 4 HP Monitors chassis resources and environmental conditions; provides instrumentation
Module MPCBL0001NO3/NO4 SBC for health metrics and alerting. Defines interface between platform hardware and
MPCH0001 14U Shelf system software.
Telecom Boards and Platforms developer.intel.com/design/network
118
Intel®NetStructureBoards and Platforms: Designed for CompactPCI* Specifications
The PICMG 2.16 specification-compliant family of products provides OEM equipment designers with CompactPCI
standards-based, building-block solutions for carrier-grade telecom and Internet applications, with support for switched
Ethernet communications across the system backplane. Solutions include hot-swap, high availability and multicomputing
capabilities ranging from low-cost processor boards to fully integrated systems for mission-critical applications. Modular,
scalable, off-the-shelf platforms and components, and support for major operating systems and real-time software, speed
application development. A generous set of onboard embedded features address the system integration and reliability
requirements of OEM system builders. These building blocks are designed to interoperate within the entire Intel®
NetStructurefamily of packet switched backplane products, and with third-party building blocks meeting the PICMG 2.16
specification.
FEATURES BENEFITS
Standards-based, open architecture Interoperable between multiple vendors’ systems, giving customers more
flexibility
Efficient and economical integration to support faster time-to-market
Custom configurable
Allows customers to focus on core competencies
Embedded lifecycle support Continuous Intel Architecture (IA) roadmap provides future security
High density Reduces overall cost of equipment space and minimizes system volume
Low power/thermals Provides superior thermal characteristics
Modular boards are active FRUs to enhance serviceability Can easily be replaced in the field in under five minutes without
disrupting other system components
RSS capabilities, provided by redundant power supplies, CPUs, High availability with 99.999 percent uptime
hard drives, etc., support hot-swappable boards
IPMI Management enables full management of all IPMI-based Allows operators to remotely monitor system health, individual sensor
SBCs and peripherals in a platform data, FRU data, and exert power-up/down control
COMPACTPCI*-COMPLIANT 6U PROCESSOR BOARDS
Intel®NetStructureMPCBL5525 High Performance Processor Board
Designed for carrier-grade telecom and Internet applications requiring exceptional processing power from a single slot,
this board offers configurable high availability, I/O expansion, 66 MHz CompactPCI bridging features and utilizes a
split-transaction, deferred reply protocol. The 400 MHz processor side bus uses Source Synchronous Transfer (SST) of
address and data to improve performance by transferring data four times per bus clock (4X data transfer rate, as in AGP 4X).
Intel®NetStructureZT 5524 High Performance System Master Processor Board
This high-performance processor board is designed for telecom and Internet applications requiring exceptional computing
bandwidth, speed, and processing power. A 64-bit PCI bridge and high-speed CompactPCI bus drive up-to-seven
peripheral boards at 33 MHz, or four at 66 MHz. The ZT 5524 board supports 2 GB ECC SDRAM, 4 MB onboard flash and
onboard video.
Intel®NetStructureZT 5504 System Master Processor Board
This high-value Intel Pentium III processor-based board is designed for telecommunications and Internet applications
requiring completely integrated processing solutions for increased performance and system reliability. It can also operate
in a CompactPCI peripheral slot as a standalone single board computer (SBC). The board features a 100 MHz FSB with 64-
bit/33 MHz CompactPCI bus interface, 512 MB or 1 GB ECC SDRAM, 4 MB onboard Flash and onboard video..
developer.intel.com/design/network Telecom Boards and Platforms
119
Intel®NetStructureZT 5515 Compute Processor Board
This Intel®Pentium®4 processor-based board inherits the high reliability and robust physical characteristics of
CompactPCI without a CompactPCI bus. Use of Intel's Gigabit ethernet technology for both dataplane and control-plane
communication provides excellent price performance. The board features 1 GB ECC SDRAM, 16 MB onboard Flash, and
onboard video.
COMPACTPCI*-COMPLIANT PROCESSOR BOARDS
PRODUCT PRODUCT COMPACTPCI* CHASSIS EXTENDED OS REFERENCE DESIGN
NUMBER NAME PROCESSOR CHIPSET BUS INTERFACE MEMORY MANAGEMENT COMPATIBILITY SUPPORT AVAILABLE
MPCBL5525 High Performance Intel®Pentium®M Intel®E7501 64-bit, 33 MHz DDR 200 SDRAM IPMI-based BMC ZT 5091, ZT 5088, Windows* XP, Windows* 2000, No
Processor Board processor, 1.6 GHz chipset with ECC ZT 5085, Windows NT* 4.0, Red Hat*
MPCHC5089D Linux* 8.0, VxWorks*,
MontaVista CGL*
ZT 5524 High Performance Single or Dual Intel®ServerWorks* 64-bit, 33/66 MHz 512 MB and 1 GB IPMI-based BMC ZT 5090, ZT 5085, Red Hat Linux, VxWorks, Yes
System Master Pentium®III LE chipset PC133 SDRAM ZT 5088 Windows 2000
Processor Board processors, 933 MHz
ZT 5515 Compute Intel®Pentium®4 Intel®845E No cPCI Bus 256 MB, 512 MB, IPMI-based BMC ZT 5090, ZT 5085, Red Hat Linux, VxWorks, Yes
Processor Board Processor – M, chipset Interface 1 GB and 1 GB+ ZT 5088 Windows* 2000
1.2 GHz ECC DDR SDRAM
ZT 5504 System Master Intel Pentium III Intel®440GX 64-bit/33 MHz 512 MB and 1 GB IPMI-based BMC ZT 5090, ZT 5085, Red Hat Linux, VxWorks, No
Processor Board processor— ECC SDRAM ZT 5088 Windows* 2000
Low Power, 1.0 GHz
(BGA2)
COMPACTPCI*-COMPLIANT PLATFORMS
These telecom building blocks from Intel provide OEM equipment designers with standards-based development solutions
built on the PICMG 2.16 specification. In addition to high availability features, Intel®NetStructureplatforms are highly
modular, scalable, and extremely serviceable. Ethernet signals are routed across the midplane without the use of cables,
saving time in set-up, maintenance and repair, and minimizing the thermal challenges of traditional cabling methods. Chassis
management modules enable customers to manage multiple SBCs and conduct chassis diagnostics remotely for enhanced
system reliability. Hot-swappable system components provide built-in redundancy to simplify replacement and minimize
service time. Platforms include AC/DC power and are designed to the NEBS/ETSI and IPMI v1.5 standards.
The Intel®NetStructureZT 5085 12U Redundant Host Packet Switched Platform
This platform features a PICMG 2.16-compatible midplane to support redundant-host architecture for I/O-intensive
applications, providing OEM equipment designers with a carrier-grade, standards based, high availability computing
platform. It supports five-nines (99.999%) availability with built-in redundancy for active system components including
Ethernet switches, chassis management modules, power supplies and fan trays.
Intel®NetStructureZT 5088 12U General Purpose Packet Switched Platform
This extremely flexible, high-availability platform is configurable for both compute-intensive and I/O-intensive
applications, providing OEM equipment designers with carrier-grade, standards-based solutions. This high-capacity
CompactPCI platform features innovative power and cooling. The ZT 5088 supports five-nines (99.999%) availability with
built-in redundancy for active system components including Ethernet switches, chassis management modules, power supplies
and fan trays.
Intel®NetStructureZT 5090 4U General Purpose Packet Switched Platform
This high-density CompactPCI platform features seven node slots and one integrated layer 2/3 Ethernet switch slot,
transversely mounted in a 4U enclosure, making it ideal for carrier-grade telecom and Internet applications. Backplane
interconnect speeds are user-definable and scalable from 10 Mbps to 2000 Mbps per node slot, allowing customers to start
with a lower-cost, lower-speed set of components and upgrade as needed.
Telecom Boards and Platforms developer.intel.com/design/network
120
Intel®NetStructure MPCHC5091 4U General Purpose Packet Switched Platform
This high density CompactPCI platform features seven node slots and one integrated layer 2/3 Ethernet switch slot,
transversely mounted in a 4U enclosure, making it ideal for carrier-grade telecom and Internet applications.
Intel®NetStructureMPCHC5089DC 12U General Purpose Packet Switched Platform
This extremely flexible platform is well-suited for the exacting requirements of modular communications infrastructure
applications. It is designed for customers who demand high availability and low maintenance, but at the same time want
to maximize processing density while minimizing hardware footprint and cost. It features built-in redundancy for active
system components including Ethernet switches, chassis management modules, power supplies and fan trays.
COMPACTPCI*-COMPLIANT PLATFORMS
PRODUCT SBC SLOTS CHASSIS
NUMBER PRODUCT NAME TOTAL SLOTS (POWER/SLOT) BUS SEGMENTATION H.110 SUPPORT TOTAL POWER MANAGEMENT
ZT 5085 12U Redundant Host Packet 21* 2 (50W) Two 64-bit, 33 MHz PCI segments 12 slots 250W 2 modules included
Switched Platform (8,8) or (16) (N+N, N=4 redundancy)
ZT 5088 12U General Purpose Packet 21* 4 (50W) Four 64-bit/66 MHz PCI segments None 250W 2 modules included
Switched Platform (5,4,5,4) or (9,9) (N+N, N=4 redundancy)
ZT 5090 4U General Purpose Packet 8** 2 (45W) Two 32-bit/33 MHz or Peripheral slots on 250W (N+N, N=2) 1 module included
Switched Platform 64-bit/66 MHz PCI segments (4,3) each segment; 5 total
MPCHC5091 4U General Purpose Packet 8 7 (45W) One 32/64-bit 33 MHz PCI segment One segment, Two 250W power supplies, 1 dedicated chassis
Switched Platform 6 total peripheral slots AC or DC (N+1 support with management slot
optional third power supply) (Optional CMM)
MPCHC5089DC 12U General Purpose Packet 21 6U slotsUp to 50W per No CompactPCI bus None 350W 2 modules included
Switched Platform node slot (N+N, N+4 redundancy)
* Includes two dedicated Ethernet slots
** Includes one dedicated Ethernet slot
Includes 18 node slots, two fabric slots and two 3U chassis management slots
COMPACTPCI*-COMPLIANT PLATFORM COMPONENTS
PRODUCT NUMBER PRODUCT NAME COMPATIBILITY SIZE FEATURES
ZT 4807 Rear-Panel Transition ZT 5090 platform, 6U x 4HP Routes all cabling out the back of a system; provides access to a secondary IDE channel,
Board ZT 5504 SBC Com1 and 2 serial ports and a floppy disk drive
ZT 4901 I/O Mezzanine ZT 5524 SBC, 6U x 4HP Provides two PMC sites, a dual optical Fibre Channel interface, and CompactPCI bridging at 33 or 66 MHz
Expansion Card ZT 5090 platform
ZT 6303 250W Hot Swap ZT 5090 platform 3U x 8HP x 160 mm Extra high current density allows unit to deliver up to 40 amperes on either the +5 or +3.3 volt outputs
AC Power Supply at 50°C. This highly dense, hot swap, redundant supply is ideally suited for telecommunications, industrial
automation and a variety of embedded computer applications
ZT 7102 Chassis Management ZT 5090, ZT 5085 and 3U x 4HP Reliable, comprehensive, standards-based management, utilizing the IPMI standard within a unique star
Module ZT 5088 platforms topology to support very high-level management applications
ZT 8101 10/100 Ethernet Switch ZT 5090 platform, 6U x 4HP Easy-to-use browser/Web-based management console; routes and switches at full wire speed utilizing
ZT 5504 SBC non-blocking architecture with sophisticated multicast protocols to limit unnecessary traffic; provides
in-chassis switch fabric to operate in a redundant configuration
ZT 8102 Ethernet Switch ZT 5515 SBC, ZT 5085 6U x 4HP Available in either a basic Layer 3 version (ZT 8102) or enhanced Layer 3 version (ZT 8102HA) with
ZT 8102HA and ZT 5088 platforms high-availability software stack and additional routing capabilities; 16 Gigabit Ethernet ports and Layer 3
routing capabilities; hardware-based wire speed L2 switching and L3 routing
ZT 96080 IDE CompactFlash* ZT 5504, ZT 5515 and N/A Drop-in storage alternative to a 2.5" IDE hard disk drive; allows customer to select preferred amount
Carrier ZT 5524 SBCs of CompactFlash
intel.com/design/network/products/telecom/index.htm Low-Density Combined Media Processing Boards
121
Telecom Boards
Intel offers a range of media processing b7
The Intel®Dialogic®System Release 5.1.1 Feature Pack 1 for Windows* (SR 5.1.1 FP1), available from Intel, supports
these new telecom products: Intel®Dialogic®DMV160LP Combined Media Board, Intel®Dialogic®CPI/400BRI PCI
Fax Board, and the Intel®Dialogic®DISI16R2 and Intel®Dialogic®DISI24R2 switching boards. SR 5.1.1 FP1 also provides
support for the following board enhancements: Intel®Dialogic®DI0408LSAR2, Intel®Dialogic®DI0408LSAR2EU, and
Intel®Dialogic®DISI32R2 switching boards; Intel®NetStructureDM/IP IP boards; Intel®NetStructureDM/V combined
media boards; and all products and features supported in the previous SR 5.1.1 release.
Combined Media Processing Boards
LOW-DENSITY COMBINED MEDIA PRODUCTS
DM3 Voice Processing and Analog Interface
Part of the next-generation of analog PCI boards, the Intel®Dialogic®DMV160LP Combined Media Board is a 16-port,
PCI-based voice processing and analog interface board offering the enhanced capabilities a competitive communications
market segment demands. Ideal for advanced computer telephony (CT)-based communications applications requiring
multimedia resources, this high-performance, scalable board offers a rich set of advanced features and support for digital
signal processing (DSP) technology and signal processing algorithms.
Resource with Analog Interface (JCT Boards)
The Intel®Dialogic®JCT boards are low-density products that provide a robust media feature set including voice
processing, speech recognition, and fax capabilities. These boards with Spring Ware technology are available for the PCI
form factor and are for developers seeking to rapidly build and globally deploy small to midrange enterprise solutions. The
boards can be scaled from one to eight boards in a PC chassis for building systems up to 96 ports and provide a CT Bus
connector, allowing for interoperability with other CT Bus/SCbus-compatible boards. Intel Dialogic JCT boards provide the
ideal platform for today’s unified messaging, voice mail, IVR, and contact center applications.
Low-Density Combined Media Processing Boards intel.com/design/network/products/telecom/index.htm
122
Low-Density Analog Product Line Summary
The following table summarizes the low-density analog product features. For additional information, refer to the product datasheet index at
http://www.intel.com/design/network/products/telecom/boards/mediaprocessing.htm.
DM3 VOICE PROCESSING AND ANALOG INTERFACE BOARD
PRODUCT AND APPLICATIONS ITEM MARKET NAME KEY FEATURES
Intel®Dialogic®DMV160LP DMV160LP 16 channels of voice processing
Unified messaging 16 analog loop start network interfaces
Voice mail/voice messaging DM3 architecture
IVR R4 API for media control
Contact center Supports simultaneous voice, fax, and Intel®Dialogic®Continuous
Dictation Speech Processing Technology
Full-size, universal PCI form factor
Worldwide approvals in progress
DTMF detection
Call progress analysis
Advanced low bit rate coders, including TrueSpeech*
Up to eight boards per chassis
Support for Windows NT*, Windows* 2000, and Windows* XP
operating systems
Four ports onboard DSP-based fax
H.100 connector (H.100 TDM Bus support)
CT Bus compatible (with SCbus support)
Global Call* support
Transaction record
RESOURCE WITH ANALOG INTERFACE (JCT BOARDS)
PRODUCT AND APPLICATIONS ITEM MARKET NAME KEY FEATURES
Intel®Dialogic®D/41JCT-LS D41JCTLS Four channels of enhanced voice (voice and speech recognition)
Unified messaging Four channels of fax
Voice/fax mail Four channels of analog loop start network interfaces
Voice portal PCI form factor, universal connectivity (support for 3.3V and 5V
IVR signaling environments)
Contact center and e-Business R4 API for media control
Dictation Up to eight boards per system
Speech-enabled auto-attendant Tone signaling
Call progress analysis ensures reliability
A-law or µ-law voice coding at dynamically selectable data rates
GSM and G.726 coding algorithms for support of Voice Profile for
Internet Mail (VPIM) standard
Software development kits for Windows NT*, Windows* 2000,
and Linux* operating systems yield faster time-to-market
Worldwide approvals for cost-effective expansion to serve global
market segments
Intel®Dialogic®VFX/41JCT-LS VFX41JCTLS Four channels of enhanced voice (voice and speech recognition)
Unified messaging Four channels of analog loop start network interfaces
Voice/fax mail Same features and benefits as D/41JCT-LS plus four channels of
Voice portal enhanced fax capabilities
IVR ITU-T T.4 Group III fax (T.4 and T.30) and ETSI NET/30 for send
Contact center and e-Business and receive operations
Fax-on-demand V.17 transmission (14.4 Kbps) for sending and receiving faxes
Software development kits for Windows NT, Windows 2000,
and Linux operating systems yield faster time-to-market
Intel®Dialogic®D/120JCT-LS D120JCTLS 12 channels of enhanced voice (voice, speech recognition)
4 channels of fax
12 channels of analog loop start network interfaces
PCI form factor, universal connectivity
(support for 3.3V and 5V signaling environments)
Same features and benefits as D/41JCT-LS
intel.com/design/network/products/telecom/index.htm Voice Boards
123
Voice Boards
VOICE BOARDS
Voice with Analog Interface
Low-density voice resource boards offer from four to 16 resources of voice processing and four to 16 channels of loop-
start network interfaces on a single board. The four-channel products are half-size boards with no SCbus or CT Bus
support and are available for the ISA and PCI form factors. The 16-channel product is an ISA board with SCbus support. All
of these boards with Spring Ware technology are for small to midrange enterprise developers who require high-end features
such as automatic gain control (AGC), caller ID, global dial pulse detection (GDPD), and international approvals. The boards
can be scaled from one to 16 boards in a PC chassis for building systems up to 256 ports (depending on specific product and
application limitations) and provide the ideal platform for today’s unified messaging, voice mail, interactive voice response
(IVR), and contact center applications.
Low-Density Single Media Product Line Summary
The following table summarizes the low-density analog product features. For additional information, refer to the product datasheet index at
http://www.intel.com/design/network/products/telecom/boards/mediaprocessing.htm
VOICE AND ANALOG LOOP-START INTERFACE BOARDS
PRODUCT AND APPLICATIONS ITEM MARKET NAME KEY FEATURES
Intel®Dialogic®DIALOG/4 DIALOG4 Up to four channels of voice
Unified messaging Four analog loop start network interfaces
Voice mail Half-size, ISA form factor
Interactive voice response (IVR) R4 API for media control
Contact center Voice coding at dynamically selectable data rates
DTMF detection and cut-through
AGC support
Up to 16 boards per chassis = 64 channels
Internationally approved
Software development kits for Windows NT*, Windows* 2000,
and Linux* operating systems yield faster time-to-market
Intel®Dialogic®D/4PCI D4PCI Four channels of voice
Unified messaging Four analog loop start network interfaces
Voice mail Half-size, PCI form factor
IVR R4 API for media control
Contact center Supports caller ID for intelligent call handling
Supports global dial pulse detection
Internationally approved
Tone signaling
Call progress analysis ensures reliability
TAPI/WAVE support
Up to 16 boards per chassis = 64 channels
Software development kits for Windows NT, Windows 2000,
and Linux operating systems yield faster time-to-market
Intel®Dialogic®D/4PCIU D4PCIU Same features and benefits as D/4PCI with universal connectivity
(support for 3.3V and 5V signaling environments) with Intel®
Dialogic®System Release V5.1 SP1 or higher
G.726 and GSM coders
Voice Boards intel.com/design/network/products/telecom/index.htm
124
VOICE AND ANALOG LOOP-START INTERFACE BOARDS (continued)
PRODUCT AND APPLICATIONS ITEM MARKET NAME KEY FEATURES
Intel®Dialogic®D/4PCIUF D4PCIUF Same features and benefits as D/4PCIU AND up to four channels
of basic, DSP-based fax
Intel®Dialogic®D/160SC-LS D160SCLSREV3 Up to 16 channels of voice
Unified messaging 16 loop-start telephone line interfaces
Voice mail ISA form factor
IVR R4 API for media control
Contact center Voice coding at dynamically selectable data rates
DTMF detection and cut-through
AGC support
Caller ID capability
Up to 16 boards per chassis = 256 channels (may be limited by
application)
Software development kits for Windows NT*, Windows* 2000,
Linux, and MS-DOS operating systems yield faster time-to-market
VOICE WITH DIGITAL PRI INTERFACE
DM/V Voice Series
Intel®NetStructureDM/V voice boards are media platforms for developers looking to rapidly build and globally deploy
high-density media server solutions for the enterprise and public networks. The boards are available with four digital PRI
interfaces in addition to voice processing in either PCI or CompactPCI* form factors.
High-Density Single Media Product Line Summary
The following table summarizes the high-density single media product features. For more information, refer to the product datasheet index at
http://www.intel.com/design/network/products/telecom/boards/mediaprocessing.htm
DM/V VOICE BOARDS
PRODUCTS AND APPLICATIONS ITEM MARKET NAME KEY FEATURES
Intel®NetStructureDM/V480-4T1-PCI DMV4804T1PCIU 48–120 channels of basic voice
Intel®NetStructureDM/V480-4T1-CPCI DMV4804T1CPCI Four digital network interfaces
Intel®NetStructureDM/V600-4E1-PCI DMV6004E1PCIU PCI or CompactPCI* form factor
Intel®NetStructureDM/V600-4E1-CPCI DMV6004E1CPCI H.100 and H.110 compliant
Intel®NetStructureDM/V960-4T1-PCI DMV9604T1PCIU Universal connectivity (support for 3.3V and 5V signaling
Intel®NetStructureDM/V960-4T1-CPCI DMV9604T1CPCI environments)
Intel®NetStructureDM/V1200-4E1-PCI DMV12004E1PCIU Tone signaling
Intel®NetStructureDM/V1200-4E1-CPCI DMV12004E1CPCI Call progress analysis
Messaging and enhanced services 1200+ ports per chassis
Contact center and e-Business Software development kits for Windows NT*, Windows* 2000,
PC-PBX and Linux* operating systems yield faster time-to-market
Switching and call completion R4 API for media control
Prepaid/debit card Unified call control access through Global Call* interface provides
Gateway switch worldwide application portability and shortens development time
intel.com/design/network/products/telecom/index.htm Voice Boards
125
HIGH-DENSITY COMBINED MEDIA PRODUCTS
Resource Only (DM/V-B and DM/V-A Multifunction Resource Series)
When combined with a board containing digital network interfaces—such as the Intel®NetStructureDM/N or DM/T
Digital Telephony Interface Board—Intel®NetStructureDM/V-B and DM/V-A combined media boards provide a
powerful media platform for developers looking to rapidly build and globally deploy high-density media server solutions for
the enterprise and public network market segments. The DM/V-A boards provide a rich solution with a robust media feature
set and between 120 and 240 channels of media—including voice processing, speech recognition and conferencing, or fax
capabilities—in either universal PCI or CompactPCI form factors. The DM/V-B boards build upon the features of the DM/V-
A boards by adding universal media loads that offer simultaneous voice processing, speech recognition, fax, and
conferencing; improved media densities (120 to 576 channels); and the ability to select three different types of conferencing
media. Currently available in a universal PCI form factor, DM/V-B boards are supported by Intel®Dialogic®System Release
Software 6.0 PCI for Windows* (SR 6.0 PCI for Windows).
Resource Only (JCT Shared Resource Series)
When combined with a board containing digital network interfaces—such as the Intel NetStructure DM/N or DM/T
Digital Telephony Interface Board—the Intel®Dialogic®JCT combined media boards enable media platforms for
developers looking to rapidly build high-density media server solutions for enterprise and service provider networks. The JCT
boards support up to 32 channels of media including voice processing, fax capabilities, or—with SR6.0 PCI for Windows—
speech recognition, in a universal PCI form factor.
Resource with Onboard PRI Interface(s) (DM/V-B and DM/V-A Combined Media Boards)
Intel NetStructure DM/V-B and DM/V-A combined media boards are powerful media platforms for developers looking to
rapidly build and globally deploy high-density media server solutions for the enterprise and public networks. The DM/V-A
boards are available with either two or four digital PRI interfaces in either universal PCI or CompactPCI form factors and
offer a rich solution with a robust media feature set that includes voice processing, speech recognition, and with the dual span
boards, conferencing capabilities. The DM/V-B boards build upon the features of the DM/V-A boards by adding software-
selectable T-1/E-1 interfaces; a universal media load that offers simultaneous voice processing, speech recognition, fax, and
conferencing; improved media densities; the ability to mix select protocols; and the ability to select three different types of
conferencing media. Currently available in a universal PCI form factor, DM/V-B boards are supported by SR6.0 PCI for
Windows.
Resource with Onboard PRI Interface(s) (JCT Single- and Dual-Span Boards)
Intel®Dialogic®JCT single- and dual-span combined media boards enable media platforms for developers looking to
rapidly build high-density media server solutions for enterprise and service provider networks. Available with either one or
two digital PRI interface(s) in a universal PCI form factor, the boards provide a media-rich environment that supports
multiple media such as voice processing and in select instances, speech recognition or fax.
Voice Boards intel.com/design/network/products/telecom/index.htm
126
High-Density Combined Media Product Line Summary
The following table summarizes the high-density combined media product features. For additional information, refer to the product datasheet index at
http://www.intel.com/design/network/products/telecom/boards/mediaprocessing.htm.
DM/V-B COMBINED MEDIA BOARDS
PRODUCTS AND APPLICATIONS ITEM MARKET NAME KEY FEATURES
Intel®NetStructureDMV3600BP DMV3600BP 360 channels of basic voice, or
(Currently supported only on SR6.0 PCI for Windows) 160–576 channels of conferencing, or
Messaging and enhanced services 120+ channels of simultaneous voice, speech recognition,
Wireless and fixed-line short message service (SMS) conferencing, and fax (universal media load)
Color ring-back Three different levels and densities of conferencing to choose from
Voice portal Select media loads with enhanced EC (up to 64ms echo tail length)
Contact center and e-Business PCI form factor
PC-PBX H.100 compliant
Audio conferencing server Universal connectivity (support for 3.3V and 5V signaling
Web conferecing environments)
Fax server Tone signaling
Fax broadcast Call progress analysis
1200+ ports per chassis
Software development kits for Windows* 2000, Windows* 2003,
Windows* XP and Linux* operating systems yield faster
time-to-market
R4 API for media control
DM/V-A COMBINED MEDIA BOARDS
PRODUCTS AND APPLICATIONS ITEM MARKET NAME KEY FEATURES
Intel®NetStructureDM/V2400A-PCI DMV2400APCIU 240 channels of basic voice, or
Intel®NetStructureDM/V2400A-CPCI DMV2400ACPCI 120-240 channels of conferencing, or
Messaging and enhanced services 120 channels of simultaneous voice and speech recognition, and
Wireless and fixed-line short message 60–120 channels of conferencing, or
service (SMS) 120 channels of voice and speech recognition and 12–15 channels
Color ring-back of fax
Voice portal PCI or CompactPCI* form factor
Contact center and e-Business H.100 and H.110 compliant
PC-PBX Universal connectivity (support for 3.3V and 5V signaling
Audio conferencing server environments)
Web conferencing Tone signaling
Fax server Call progress analysis
Fax broadcast 1200+ ports per chassis
Software development kits that can include Windows NT*,
Windows* 2000, Windows* 2003, Windows* XP and Linux*
(depending on the specific system release) operating systems
yield faster time-to-market
R4 API for media control
intel.com/design/network/products/telecom/index.htm Voice Boards
127
JCT COMBINED MEDIA BOARDS
PRODUCTS AND APPLICATIONS ITEM MARKET NAME KEY FEATURES
Intel®Dialogic®D/160JCT D160JCT 16 and 32 channels of voice, speech recognition or fax
Intel®Dialogic®D/320JCT D320JCT (speech recognition currently only on SR6.0 PCI for Windows)
Messaging and enhanced services PCI form factor
Wireless and fixed-line short message H.100 compliant
service (SMS) Universal connectivity (support for 3.3V and 5V signaling
Voice Portal environments)
Contact center and e-Business Tone signaling
PC-PBX Call progress analysis
Fax server Up to six boards per chassis
Fax broadcast Software development kits that can include Windows NT*,
Windows* 2000, Windows* 2003, Windows* XP and Linux*
(depending on the specific system release) operating systems yield
faster time-to-market
R4 API for media control
DM/V-B COMBINED MEDIA BOARDS
PRODUCTS AND APPLICATIONS ITEM MARKET NAME KEY FEATURES
Intel®NetStructureDMV600BTEP DMV600BTEP 60+ channels of simultaneous voice, speech recognition,
(Currently supported only on SR6.0 PCI for Windows) conferencing, and fax (universal media load)
Messaging and enhanced services Two software-selectable digital network interfaces
Wireless and fixed-line short message Select media loads with enhanced EC (up to 64ms echo tail length)
service (SMS) PCI form factor
Color ring-back H.100 compliant
Voice portal Universal connectivity (support for 3.3V and 5V signaling
Contact center and e-Business l environments)
PC-PBX Tone signaling
Audio conferencing server Call progress analysis
Web conferencing 1200+ ports per chassis
Switching and call completion Software development kits for Windows* 2000, Windows* 2003,
Prepaid/debit card Windows* XP and Linux* operating systems yield faster
Gateway switch time-to-market
Fax server R4 API for media control
Fax broadcast Unified call control access through Global Call* interface provides
worldwide application portability and shortens development time
Ability to mix select protocols for each span
Intel®NetStructureDMV1200BTEP DMV1200BTEP 120+ channels of simultaneous voice, speech recognition,
(Currently supported only on SR6.0 PCI for Windows) conferencing, and fax (universal media load)
Messaging and enhanced services Four software-selectable digital network interfaces
Wireless and fixed-line short message Select media loads with enhanced EC (up to 64ms echo tail length)
service (SMS) PCI form factor
Color ring-back H.100 compliant
Voice portal Universal connectivity (support for 3.3V and 5V signaling
Contact center and e-Business environments)
PC-PBX Tone signaling
Audio conferencing server Call progress analysis
Web conferencing 1200+ ports per chassis
Switching and call completion Software development kits for Windows 2000, Windows 2003,
Prepaid/debit card Windows XP and Linux operating systems yield faster
Gateway swtich time-to-market
Fax server R4 API for media control
Fax broadcast Unified call control access through Global Call interface provides
worldwide application portability and shortens development time
Ability to mix select protocols for each span
Voice Boards intel.com/design/network/products/telecom/index.htm
128
DM/V-A COMBINED MEDIA BOARDS
PRODUCTS AND APPLICATIONS ITEM MARKET NAME KEY FEATURES
Intel®NetStructureDM/V480A-2T1-PCI DMV480A2T1PCI • 48 and 60 channels of simultaneous voice and speech recognition,
Intel®NetStructureDM/V480A-2T1-CPCI DMV480A2T1CPCI and 60 channels of conferencing
Intel®NetStructureDM/V600A-2E1-PCI DMV600A2E1PCI • Two digital network interfaces
Intel®NetStructureDM/V600A-2E1-CPCI DMV600A2E1CPCI • PCI or CompactPCI* form factor
Messaging and enhanced services H.100 and H.110 compliant
Wireless and fixed-line short message Universal connectivity (support for 3.3V and 5V signaling
service (SMS) environments)
Color ring-back Tone signaling
Voice portal Call progress analysis
Contact center and e-Business 1200+ ports per chassis
PC-PBX Software development kits that can include Windows NT*,
Audio conferencing server Windows* 2000, Windows* 2003, Windows* XP and Linux*
Web conferencing (depending on the specific system release) operating systems yield
Switching and call completion faster time-to-market
Prepaid/debit card R4 API for media control
Gateway switch Unified call control access through Global Call interface provides
worldwide application portability and shortens development time
Intel®NetStructureDM/V960A-4T1-PCI DMV960A4T1PCI 96 and 120 channels of voice and speech recognition
Intel®NetStructureDM/V960A-4T1-CPCI DMV960A4T1CPCI Four digital network interfaces
Intel®NetStructureDM/V1200A-4E1-PCI DMV1200A4E1PCI PCI or CompactPCI form factor
Intel®NetStructureDM/V1200A-4E1-CPCI DMV1200A4E1CPCI H.100 and H.110 compliant
Messaging and enhanced services Universal connectivity (support for 3.3V and 5V signaling
Wireless and fixed-line short message environments)
service (SMS) Tone signaling
Color ring-back Call progress analysis
Voice portal 1200+ ports per chassis
Contact center and e-Business Software development kits that can include Windows NT,
PC-PBX Windows 2000, Windows 2003, Windows XP and Linux
Switching and call completion (depending on the specific system release) operating systems yield
Prepaid/debit card faster time-to-market
Gateway switch R4 API for media control
Unified call control access through Global Call interface provides
worldwide application portability and shortens development time
intel.com/design/network/products/telecom/index.htm Voice Boards
129
JCT SINGLE- AND DUAL-SPAN BOARDS
PRODUCTS AND APPLICATIONS ITEM MARKET NAME KEY FEATURES
Intel®Dialogic®D/240JCT-T1 D240JCTT1R2U 24 and 30 channels of voice, speech recognition or fax
Intel®Dialogic®D/480JCT-1T1 D480JCT1T1U One digital network interface
Intel®Dialogic®D/300JCT-E1-75 D300JCTE175R2U PCI form factor
Intel®Dialogic®D/300JCT-E1-120 D300JCTE1120R2U H.100 compliant
Intel®Dialogic®D/600JCT-1E1-75 D600JCT1E175U Universal connectivity (support for 3.3V and 5V signaling
Intel®Dialogic®D/600JCT-1E1-120 D600JCT1E1120U environments)
Messaging and enhanced services Tone signaling
Wireless and fixed-line short message Call progress analysis
service (SMS) Up to 16 boards per chassis
Voice portal Software development kits that can include Windows NT*,
Contact center and e-Business Windows* 2000, Windows* 2003, Windows* XP and Linux*
PC-PBX (depending on the specific system release) operating systems yield
Switching and call completion faster time-to-market
Prepaid/debit card R4 API for media control
Gateway switch Unified call control access through Global Call* interface provides
Fax server worldwide application portability and shortens development time
Fax broadcast
Intel®Dialogic®D/480JCT-2T1 D480JCT2T1R2U 48 and 60 channels of voice, speech recognition or fax
Intel®Dialogic®D/600JCT-2E1-75 D600JCT2E175R2U Two digital network interfaces
Intel®Dialogic®D/600JCT-2E1-120 D600JC2E1120R2U PCI form factor
Messaging and enhanced services H.100 compliant
Wireless and fixed-line short message Universal connectivity (support for 3.3V and 5V signaling
service (SMS) environments)
Contact center and e-Business Tone signaling
PC-PBX Call progress analysis
Switching and call completion Up to 10 boards per chassis
Prepaid/debit card Software development kits that can include Windows NT,
Gateway switch Windows 2000, Windows 2003, Windows XP and Linux
(depending on the specific system release) operating systems yield
faster time-to-market
R4 API for media control
Unified call control access through Global Call interface provides
worldwide application portability and shortens development time
Fax Boards intel.com/design/network/products/telecom/index.htm
130
Fax Boards
LOW-DENSITY FAX PRODUCTS
Intel®Dialogic®CPi/200-B2 and CPi/400-B2 Fax Boards
The Intel®Dialogic®CPi/200-B2 and CPi/400-B2 fax boards are ideal solutions for computer-based fax installations
requiring PCI compatibility and V.34 fast transmission mode. Designed to optimize network-based fax servers and
customized applications, they have two (CPi/200-B2 PCI board) or four (CPi/400-B2 PCI board) telephone line interface
circuits approved for direct connection to analog loop-start lines. An onboard switch can be set to a unique board number for
each board within a multi-board configuration. Install multiple CPi/200-B2 or CPi/400-B2 PCI boards in a single PC chassis
to create cost-effective systems scalable up to 16 ports.
Intel®Dialogic®CPi/400BRI PCI Fax Board
The Intel®Dialogic®CPi/400BRI PCI fax board is a single-slot, medium-density fax board that supports four ports of
feature-rich fax capabilities plus two integrated, onboard, digital BRI network interfaces.
The CPi/400BRI PCI four-port ISDN BRI fax board was developed to meet the fax needs of countries widely using ISDN
BRI as the preferred communications network such as European countries and Australia, Japan, New Zealand, and South
Africa. This flexible, scalable solution incorporates computer-based fax into the ISDN environment, with a universal PCI
form factor for maximum compatibility with the latest servers.
Low-Density Fax Product Line Summary
The following table summarizes the low-density fax product features. For additional information, refer to the product datasheet index at
http://www.intel.com/design/network/products/telecom/boards/mediaprocessing.htm#fb.
CPI/200-B2 AND CPI/400-B2 FAX BOARDS
PRODUCT AND APPLICATIONS ITEM MARKET NAME KEY FEATURES
Intel®Dialogic®CPi/200-B2 CPI200B2 Two fax resources
Unified messaging PCI form factor
Fax server Onboard, on-the-fly MH, MR, MMR compressions
Fax-on-demand API support for the GDK/GRT
Fax broadcast Simultaneous V.34 transmission (33.6 Kbps), both transmit
and receive
Up to four boards per chassis = up to eight channels
Intel®Dialogic®CPi/400-B2 CPI400B2 Four fax resources
Unified messaging PCI form factor
Fax server Onboard, on-the-fly MH, MR, and MMR compression
Fax-on-demand API support for the GDK/GRT
Fax broadcast Simultaneous V.34 transmission (33.6 Kbps), both transmit
and receive
Up to four boards per chassis = up to 16 channels
intel.com/design/network/products/telecom/index.htm Fax Boards
131
INTEL®DIALOGIC®CPI/400BRI PCI FAX BOARDS
PRODUCT AND APPLICATIONS ITEM MARKET NAME KEY FEATURES
Intel®Dialogic®CPi/400BRI PCI CPI400BRIPCI Four fax resources
Unified messaging PCI form factor, universal connectivity (support for 3.3V and 5V
Fax server signaling environments)
Fax-on-demand ISDN BRI network interface
Fax broadcast API support for the GDK/GRT
V.17 transmission (14.4 Kbps)
Onboard, on-the-fly MH, MR, and MMR compression
TBR3 compliant
ITU T.30, T.4, T.6 compliance
HIGH-DENSITY FAX PRODUCTS
Fax Resource Only (DM/F Fax Boards)
These fax resource products offer 24 or 30 resources of full-featured fax on a single board. This includes the Intel®
NetStructureDM/F240-PCIU, Intel®NetStructureDM/F240-CPCI, Intel®NetStructureDM/F300-PCIU, and Intel®
NetStructureDM/F300-CPCI fax boards. The products are available for the PCI or CompactPCI* form factor. These boards
give fax-intensive applications robust processing power and messaging flexibility. Users can make the most of a span of either
24 or 30 ports of feature-rich fax capabilities on a single board, deploying fewer boards per chassis and, ultimately, fewer and
smaller chassis overall. This lowers the cost of ownership and enables more end users to deploy CT applications. Up to eight
DM/F fax boards (up to 196 or 240 ports of fax) can be combined in a single chassis.
As an alternative, developers can choose the Intel®Dialogic®CPi/2400-PCIU and Intel®Dialogic®CPi/3000-PCIU fax
resource only boards, which are programmed through the legacy Gamma Link API only.
Fax Resource with Onboard PRI Interface (High-Density Fax Boards)
The high-density, single-slot hardware Intel®NetStructureDM/F240-1T1-PCIU and Intel®NetStructure
DM/F300-1E1-PCIU fax boards are high-capacity fax boards that are useful for large-scale deployments of fax-enabled
applications. The boards let users make the best use of a span of either 24 or 30 ports of feature-rich fax capabilities, plus
an integrated network interface, on a single board. The increased resource density on the DM/F240-1T1-PCIU and
DM/F300-1E1-PCIU fax boards lowers per-port costs, since customers can deploy fewer boards per chassis, and, ultimately,
fewer and smaller chassis overall. Up to eight DM/F fax boards (up to 196 or 240 ports of fax) can be combined in a single
chassis.
As an alternative, developers can choose the Intel®Dialogic®CPi/2400-1T1-PCIU and Intel®Dialogic®
CPi/3000-1E1-PCIU fax boards, which are programmed through the legacy Gamma Link API only.
Fax and Voice Resource with Onboard PRI Interface (DM3 VFN Boards)
The Intel®NetStructureDMVF240-1T1-PCIU and Intel®NetStructureDMVF300-1E1-PCIU fax boards provide a
highly integrated platform with 24 or 30 universal ports of voice, fax, and digital network interface. Offering a universal
set of voice, fax, tone resources, and network interface in a 1:1:1:1 ratio, available on any call at any time, these boards are
ideal for developers looking to provide cost-effective, highly scalable, high-density communications applications that require
multimedia in a single PCI slot. Create high-density, multimedia solutions that support from 24 to 192 T-1 or 30 to 240 E-1
channels per chassis.
Fax Boards intel.com/design/network/products/telecom/index.htm
132
High-Density Fax Product Line Summary
The following table summarizes the high-density fax product features. For additional information, refer to the product datasheet index at
http://www.intel.com/design/network/products/telecom/boards/mediaprocessing.htm#fb
FAX RESOURCE ONLY BOARDS
PRODUCT AND APPLICATIONS ITEM MARKET NAME KEY FEATURES
Intel®NetStructureDM/F240-PCIU DMF240PCIU 24 fax resources
Unified messaging PCI form factor, universal connectivity (support for 3.3V and 5V
Fax servers signaling environments)
Fax-on-demand R4 API
Fax broadcast Onboard, on-the-fly MH, MR, and MMR compression
Error Correction Mechanism (ECM)
V.17 transmission (14.4 Kbps)
Up to eight boards per chassis = 196 channels
Windows* and Linux* operating system support
All features available on all channels, regardless of load
ITU T.30, T.4 compliance
Intel®NetStructureDM/F300-PCIU DMF300PCIU 30 fax resources
Unified messaging PCI form factor, universal connectivity (support for 3.3V and 5V
Fax servers signaling environments)
Fax-on-demand R4 API
Fax broadcast Onboard, on-the-fly MH, MR, and MMR compression
Error Correction Mechanism (ECM)
V.17 transmission (14.4 Kbps)
Up to eight boards per chassis = 240 channels
Windows and Linux operating system support
All features available on all channels, regardless of load
ITU T.30, T.4 compliance
Intel®NetStructureDM/F240-CPCI DMF240CPCI 24 fax resources
Unified messaging CompactPCI* form factor
Fax servers R4 API
Fax-on-demand Onboard, on-the-fly MH, MR, and MMR compression
Fax broadcast Error Correction Mechanism (ECM)
V.17 transmission (14.4 Kbps)
Up to eight boards per chassis = 196 channels
Windows and Linux operating system support
All features available on all channels, regardless of load
ITU T.30, T.4 compliance
Intel®NetStructureDM/F300-CPCI DMF300CPCI 30 fax resources
Unified messaging CompactPCI form factor
Fax servers R4 API
Fax-on-demand Onboard, on-the-fly MH, MR, and MMR compression
Fax broadcast Error Correction Mechanism (ECM)
V.17 transmission (14.4 Kbps)
Up to eight boards per chassis = 240 channels
Windows and Linux operating system support
All features available on all channels, regardless of load
ITU T.30, T.4 compliance
intel.com/design/network/products/telecom/index.htm Fax Boards
133
FAX RESOURCE WITH ONBOARD PRI INTERFACE BOARDS
PRODUCT AND APPLICATIONS ITEM MARKET NAME KEY FEATURES
Intel®NetStructureDM/F240-1T1-PCIU DMF2401T1PCIU 24 fax resources
Unified messaging Onboard T-1 network interface (ISDN, T-1 CAS)
Fax servers PCI form factor, universal connectivity (support for 3.3V and 5V
Fax-on-demand signaling environments)
Fax broadcast R4 API for fax, Global Call* for call control
Fully exportable fax resources
Onboard on-the-fly MH, MR, and MMR compression
Error Correction Mechanism (ECM)
V.17 transmission (14.4 Kbps)
Up to eight boards per chassis = 196 channels
Windows* and Linux* operating system support
All features available on all channels, regardless of load
ITU T.30, T.4 compliance
Intel®NetStructureDM/F300-1E1-PCIU DMF3001E1PCIU 30 fax resources
Unified messaging Onboard E-1 network interface (ISDN, R2 MF)
Fax servers PCI form factor, universal connectivity (support for 3.3V and 5V
Fax-on-demand signaling environments)
Fax broadcast R4 API for fax, Global Call for call control
Fully exportable fax resources
Onboard on-the-fly MH, MR, and MMR compression
Error Correction Mechanism (ECM)
V.17 transmission (14.4 Kbps)
Up to eight boards per chassis = 240 channels
Windows and Linux operating system support
All features available on all channels, regardless of load
ITU T.30, T.4 compliance
FAX AND VOICE RESOURCE WITH ONBOARD PRI INTERFACE BOARDS
PRODUCT AND APPLICATIONS ITEM MARKET NAME KEY FEATURES
Intel®NetStructureDMVF240-1T1-PCIU DMVF2401T1PCIU 24 fax resources and 24 voice resources
Unified messaging Play voice, record voice prompts
Fax servers Onboard T-1 network interface (ISDN, T-1 CAS)
Voice response servers PCI form factor, universal connectivity (support for 3.3V and 5V
Fax-on-demand signaling environments)
Fax broadcast R4 API for fax and voice, Global Call* for call control
Fully exportable fax and voice resources
Onboard, on-the-fly MH, MR, and MMR compression
Error Correction Mechanism (ECM)
V.17 transmission (14.4 Kbps)
Up to eight boards per chassis = 196 channels
Windows and Linux operating system support
All features available on all channels, regardless of load
ITU T.30, T.4 compliance
Intel®NetStructureDMVF300-1E1-PCIU DMVF3001E1PCIU 30 fax resources and 30 voice resources
Unified messaging Play voice, record voice prompts
Fax servers Onboard E-1 network interface (ISDN, R2 MF)
Voice response servers PCI form factor, universal connectivity (support for 3.3V and 5V
Fax-on-demand signaling environments)
Fax broadcast R4 API for fax and voice, Global Call for call control
Fully exportable fax and voice resources
Onboard, on-the-fly MH, MR, and MMR compression
Error Correction Mechanism (ECM)
V.17 transmission (14.4 Kbps)
Up to eight boards per chassis = 240 channels
Windows* and Linux* operating system support
All features available on all channels, regardless of load
ITU T.30, T.4 compliance
Digital Telephony Interface Boards intel.com/design/network/products/telecom/index.htm
134
Digital Telephony Interface Boards
INTEL®NETSTRUCTUREDMN160TEC DIGITAL TELEPHONY
INTERFACE BOARD
The Intel®NetStructureDMN160TEC Digital Telephony Interface Board is a high-density, digital telephone interface on
a CompactPCI* form factor. Supporting up to 480 ports and 16 spans, the board is programmable as T-1, E-1, or a mix of
both (in units of four) and fully compatible with other CompactPCI media processing and IP resource boards available from
Intel.
The DMN160TEC board offers developers a single, flexible product that supports worldwide network interfaces.
Programmable on the fly, it effectively lets solutions scale as system requirements grow. The DMN160TEC board is H.110
compliant and supports the switching of voice paths over CT Bus time slots to use resources on other boards. High density
and multiple features mean fewer boards and a smaller chassis, which helps developers keep up with the growing demands
placed on next-generation platforms.
Digital Telephony Interface Product Line Summary
The following table summarizes the digital telephony interface product features. For additional information, refer to the product datasheet index at
http://www.intel.com/design/network/products/telecom/boards/signaling.htm#dtib.
DMN AND DMT DIGITAL TELEPHONY INTERFACE BOARDS
PRODUCTS AND APPLICATIONS ITEM MARKET NAME KEY FEATURES
Intel®NetStructureDMN160TEC DMN160TEC 16 software-selectable T-1 or E-1 digital network interfaces
Switching/call completion (groups of four)
Network contact center CompactPCI* form factor
Prepaid/debit card H.110 compliant
Gateway switch Onboard law conversion
Ability to simultaneously run multiple ISDN protocols
Software development kits for Windows* 2000 and Linux*
operating systems yield faster time-to-market
Unified call control access through Global Call* interface provides
worldwide application portability and shortens development time
intel.com/design/network/products/telecom/index.htm IP Boards
135
IP Boards
DM/IP BOARDS
Intel®NetStructureDM/IP boards offer a standards-based software and hardware development platform for building
IP-based communications servers for the next-generation enterprise and service provider Ethernet network. Intel®DM/IP
boards feature 24 to 60 ports per slot of both public network and Internet connectivity, plus onboard voice and fax
processing. The DM/IP boards readily scale to support 480-port call completion applications such as access gateways and
IP-PBXs and media server applications such as unified messaging, interactive voice response (IVR), customer relationship
management (CRM).
DM/IP boards offers many optimized, low-bandwidth vocoder algorithms for transmitting high-quality audio over an IP
network including ITU-T G.711, G.723.1, G.729a, ITU GSM, and real-time fax over IP (ITU T.38). These algorithms use a
variety of coding techniques, bit rates, and frame sizes to compress audio for managing data network bandwidth. The wide
algorithm support of the boards provides the ability to deploy IP-based applications globally, tailoring them to specific
network bandwidth and voice quality requirements.
DM/IP boards offer improved quality of service (QoS) performance over IP networks. Threshold alarms alert an
application when network QoS parameters (jitter, latency, and packet loss) deteriorate below user-administered levels. These
alarms help to improve traffic engineering, allowing more efficient use of IP networks. They also enable dynamic decision-
making, which lets IP calls be rerouted onto the PSTN in the event of network congestion. IP packets carrying real-time voice
and fax can be routed with higher priority by setting IP Precedence bits.
The boards feature a flexible approach to IP call control, letting a developer choose from any of the call control stacks
supported by H.323, MGCP, and SIP, or providing the ability to develop or port another by offering call control management
that resides on the server host instead of being embedded on the board. Support for standard signaling protocols enables the
DM/IP boards to interoperate with a wide range of popular IP phones including Microsoft NetMeeting* and the PingTel*
Phone. DM/IP boards also work seamlessly with terminals that are compliant with H.323, MGCP, H.248, and SIP. With the
introduction of a SIP-based phone client on Windows XP desktop software, DM/IP boards enables fast, cost-effective
deployment of IP phone service in the enterprise.
In addition to these leading IP voice networking features, DM/IP boards also provide a true universal port solution with
a robust media feature set including voice processing and fax capabilities. These boards also support an extensive suite of
PSTN digital network interfaces and globally approved signaling protocols all in a single PCI or CompactPCI* slot. All of
these features combined make Intel NetStructure DM/IP boards among the most powerful media processing platforms
bridging legacy networks and communications solutions to the next-generation Internet.
DM/IP IP Board Product Line Summary
The following table summarizes the DM/IP board product features. For additional information, refer to the product datasheet index at
http://www.intel.com/design/network/products/telecom/boards/signaling.htm#ipb
DM/IP IP BOARDS
PRODUCT AND APPLICATIONS ITEM MARKET NAME KEY FEATURES
Intel®NetStructureDMIP241T1P100 Up to 24 voice resources
DM/IP241-1T1-PCI-100BT Full-size, PCI form factor
IP-based unified messaging Vocoders: G.723.1, G.729a, G.711, GSM
Interactive voice response (IVR) services T.38 real-time FoIP
Web CRM centers and help desks Host-based call control
Debit/calling card applications R4 and Global Call* control APIs
Voice portals QoS: IP Precedence/Type of Service (TOS)
Fax over Internet Protocol (FoIP)
Access gateway
IP PBX
IP Boards intel.com/design/network/products/telecom/index.htm
136
Intel®NetStructureDMIP301E1P100 Up to 30 voice resources
DM/IP301-1E1-PCI-100BT Full-size, PCI form factor
IP-based unified messaging Vocoders: G.723.1, G.729a, G.711, GSMs
IVR services T.38 real-time FOIP
Web CRM centers and help desks Host-based call control
Debit/calling card applications R4 and Global Call control APIs
Voice portals QoS: IP Precedence/TOS
Fax over Internet Protocol (FoIP)
Access gateway
IP PBX
Intel®NetStructureDMIP4812T1P100 Up to 48 voice resources
DM/IP481-2T1-PCI-100BT DMIP4812T1C100 Full-size, PCI and CompactPCI* form factors
Intel®NetStructureVocoders: G.723.1, G.729a, G.711, GSM
DM/IP481-2T1-CPCI-100BT T.38 real-time FOIP
IP-based unified messaging IVR services
Web CRM centers and help desks R4 and Global Call control APIs
Debit/calling card applications Host-based call control
Voice portals QoS: IP Precedence/TOS
FoIP CompactPCI high availability
Access gateway
IP PBX
Intel®NetStructureDMIP6012E1P100 Up to 60 voice resources
DM/IP601-2E1-PCI-100BT DMIP6012E1C100 Full-size, PCI and CompactPCI form factors
Intel®NetStructureVocoders: G.723.1, G.729a, G.711, GSM
DM/IP601-2E1-CPCI-100BT T.38 real-time FOIP
IP-based unified messaging Host-based call control
IVR services R4 API
Web CRM centers and help desks QoS: IP Precedence/TOS
Debit/calling card applications
Voice portals
FoIP
Internet gateways for toll bypass
(Voice and Fax over IP)
Access gateway
IP PBX
Intel®NetStructureDMIP601C100BT Up to 60 voice resources
DM/IP601-CPCI-100BT Full-size, CompactPCI form factor
IP-based unified messaging Vocoders: G.723.1, G.729a, G.711, GSM
IVR services T.38 real-time FOIP
Web CRM centers and help desks Host-based call control
Debit/calling card applications R4 API
Voice portals G.711 multicast
FoIP QoS: IP Precedence/TOS
Internet gateways for toll bypass CompactPCI high availability
(Voice and Fax over IP) Conferencing
Access gateway
IP PBX
intel.com/design/network/products/telecom/index.htm IP Boards
137
IPT PRODUCTS
Intel®NetStructureIPT Boards
Intel®NetStructureIPT boards are among the industry’s highest-density standards-based VoIP interface boards for
developing scalable, carrier-grade IP telephony gateways and media servers. There are four IPT models, differentiated by
the number and type of IP channels per PC slot.
IPT1200C—120 channels of any coder
IPT4800C—480 channels of any coder
IPT6720C—672 channels of any coder
IPT2400C—240 channels of any coder
IPT boards are optimized to deliver the most popular IP-based solutions for the public network and the enterprise. They
easily scale to support thousands of channels in media gateway, media server, IP-PBX, and IP contact center solutions.
Offering the choice of between 120 and 672 channels per slot, IPT boards interoperate in a broad suite of PSTN signaling and
media processing boards for developing open systems solutions.
Intel NetStructure IPT boards offer many optimized, low-bandwidth vocoder algorithms for transmitting audio over an IP
network, including ITU-T G.711, G.723.1+a, and G.729a+b. These algorithms use a variety of coding techniques, bit rates,
and frame sizes to compress audio for managing data network bandwidth. Frame sizes as small as 5 ms are supported for
some of the highest voice-quality IP telephony available on the market today. The wide algorithm support lets developers
deploy IP-based applications for the diverse requirements of the modular network.
Besides powerful transcoding capabilities, other standard features include high-performance echo cancellation up to 64 ms,
RFC-2833 support for tone detection and pass-through capabilities, and T.38 fax relay support for developing high-
performance solutions. In addition, the boards support high quality of service through quality monitoring (QM) features
including IP precedence for setting traffic priority, voice activity detection (VAD) and silence suppression for reducing the
number of packets in a link and lower bandwidth utilization, packet loss recovery, and jitter buffer management compensating
for latency (resulting in high voice quality). Intel NetStructure IPT boards let developers deploy competitive, feature rich,
carrier-grade IP telephony solutions.
IPT Boards Product Line Summary
The following table summarizes the IPT board product features. For additional information, refer to the product datasheet index at
http://www.intel.com/design/network/products/telecom/boards/signaling.htm#ipb
IPT BOARDS
PRODUCTS AND APPLICATIONS ITEM MARKET NAME KEY FEATURES
Intel®NetStructureIPT1200C IPT1200C Choice of 120-, 240-, 480-, and 672-port low-bit-rate vocoder
Intel®NetStructureIPT2400C IPT2400C port configurations
Intel®NetStructureIPT4800C IPT4800C Broad choice of vocoders (G.711, G.723.1+a, G.729a+b)
Intel®NetStructureIPT6720C IPT6720C SIP, H.323, MGCP, MEGACO signaling
IP media gateway and media servers H.110-compliant 8 MHz CT Bus
IP-enabled contact centers Dual 1000BaseT, 100BaseTX network interface
Enhanced service platforms Windows NT*/Windows* 2000 operating system compatible
IP-enabled voice portals Global Call* API for call control
CompactPCI* form factor
Call Logging Boards intel.com/design/network/products/telecom/index.htm
138
Call Logging Boards
Intel offers a wide breadth of products in the call logging and contact center market segments. The Intel®Dialogic®line-
tapping boards are for digital- and analog-based enterprises in the call recording industry. By providing a high-impedance
line tap of stations and/or trunks, these PCI- and ISA-compatible boards provide transparent access to specific call
information. They provide for voice recording in environments where logging is required by law or is desired to reduce
liability and resolve disputes. Developers can create medium to large quality assurance (QA) and call logging systems with a
powerful set of features and functionalities including low-bit-rate coders. Quality and stability help to make Intel a vendor of
choice for call logging and QA hardware.
Analog HiZ Line-Tapping Board
The Intel®Dialogic®DMV160LPHiZ Line-Tapping Board contains a rich set of advanced features, including digital signal
processing (DSP) technology and signal processing algorithms for building the core of any computer telephony (CT) call
logging system. The DMV160LPHiZ board provides 16 channels of call processing interfaces in a single PC slot. A unique
dual-processor architecture comprised of DSPs and a general-purpose microprocessor handles all telephony signaling and
performs all DTMF (touchtone) and audio/voice signal-processing tasks. The DMV160LPHiZ board connects to 16 analog
telephone channels, detects touchtones, and digitizes, compresses, and records voice signals.
Use the DMV160LPHiZ board to develop sophisticated, multifunction CT systems incorporating capabilities such as voice
processing, speech recognition, and text-to-speech (TTS).
Digital Station Set HiZ Line-Tapping Board
Intel®Dialogic®DSLT/162CT-U-HiZ Line-Tapping Board is a 16-port high-impedance line-tap PCI board for digital station
sets that records up to 128 simultaneous conversations via separate DSPs and provides voice and digital telephone display
data. Highly scalable, this board can access and record all digital agent lines regardless of the contact center's size.
This digital set line-tapping (DSLT) board provides quality assurance for the call recording industry by providing constant
line access to proprietary PBX digital agent telephones and capturing information essential to the effective management of
large contact centers. The DSLT board is an internal, PC-based solution that provides a physical tap of an agent's telephone,
capturing the required information and providing access to the necessary call information. While the link is transparent to the
parties involved in the connection, it permits extraction of signaling/protocol information from the network interface. The
DSLT board is strictly a passive device monitoring existing connections between the PBX and the digital telephone set. The
board uses a transparent, electrical coupling to the link that connects the telephone to the PBX. No PBX conference bridge
resources are required.
Compatible with Avaya* (formerly Lucent*), NEC*, Nortel*, and Siemens* PBXs, the DSLT board provides 16 ports,
with a maximum of 128 ports per chassis. The DSLT Software Development Kit (SDK), available for Windows NT* and
Windows* 2000 operating systems, includes advanced diagnostic and field troubleshooting capabilities.
Digital T-1 HiZ Line-Tapping Board
The Intel®Dialogic®DM/V480-2T1-PCI-HiZ Line-Tapping Board provides a powerful set of features that developers can
use to create quality assurance and call logging systems. Offered in a single-slot PCI format, this digital HiZ board
provides 48 ports of call recording capability for two T-1 (1.544 Mb/s) digital interfaces.
Powerful DSPs provide a rich set of voice processing features, including various rates of voice compression, recording,
telephony tone signaling, and reliable DTMF detection. The digital T-1 HiZ board is based on the DM3 architecture, which
provides an environment that accelerates application development and provides a path for future growth. Software
development kits (SDK) are available for Windows NT and Windows 2000 operating systems. This digital T-1 HiZ board is
accompanied by software that monitors trunk signaling to determine the moment a call is setup, connected, and disconnected.
This information is then used to determine when to start recording the call, as well as collect Automatic Number
Identification (ANI) and Dialed Number Identification Service (DNIS). ISDN signaling is supported.
intel.com/design/network/products/telecom/index.htm Call Logging Boards
139
Voice processing features, downloaded to the onboard DSPs at power up, let the digital T-1 HiZ board record voice
messages from the calling and called parties. Messages can be stored using G.711µ-law or A-law PCM, at a rate of 64 Kb/s,
as is used by the public telephone network. To reduce storage requirements, voice-coding algorithms can compress
recordings to 24 Kb/s or 32 Kb/s, using adaptive differential pulse code modulation (ADPCM). Other standards-based low-
bit-rate coders like G.276 for VPIM-compliant applications and GSM for unified messaging applications are also available.
Digital E-1 HiZ Line-Tapping Board
The Intel®Dialogic®DM/V600-2E1-PCI-HiZ Line-Tapping Board provides a powerful set of features that developers can
use to create quality assurance and call logging systems. Offered in a single-slot PCI format, this digital HiZ board
provides 60 ports of call recording capability for two E-1 (2.048 Mb/s) digital interfaces.
Powerful DSPs provide a rich set of voice processing features, including various rates of voice compression, recording,
telephony tone signaling, and reliable DTMF detection. The digital E-1 HiZ board is based on the DM3 architecture, which
provides an environment that accelerates application development and provides a path for future growth. SDKs are available
for Windows NT and Windows 2000 operating systems.
Voice processing features, downloaded to the onboard DSPs at power up, let the digital E-1 HiZ board record voice
messages from the calling and called parties. Messages can be stored using G.711µ-law or A-law PCM, at a rate of 64 Kb/s,
as is used by the public telephone network. To reduce storage requirements, voice-coding algorithms can compress
recordings to 24 Kb/s or 32 Kb/s, using ADPCM. Other standards-based low-bit-rate coders like G.276 for VPIM-compliant
applications and GSM for unified messaging applications are also available.
Call Logging Product Line Summary
The following table summarizes the call logging product application features. For additional information, refer to the product datasheet index at
http://www.intel.com/design/network/products/telecom/boards/signaling.htm#ltb
CALL LOGGING BOARDS
PRODUCT AND APPLICATIONS ITEM MARKET NAME KEY FEATURES
Intel®Dialogic®DSLT/162CT-U-HIZ DSLT162CTUHIZ DSLT key features
Digital Station Set HiZ line tap Taps proprietary digital PBX station sets
Contact center/quality assurance 16 ports
Call logging/recording environments PCI form factor
128 ports per chassis
Records up to 128 simultaneous conversations
H.100 compatible
Windows NT*/Windows* 2000 operating system compatible
Drivers available in the Intel®Dialogic®System Release Software
Intel®Dialogic®DM/V480-2E1-PCI-HIZ DMV4802T1PCIHIZ T-1/E-1 key features
Intel®Dialogic®DM/V600-2E1-PCI-HIZ DMV6002E1PCIHIZ 48 T-1 or 60 E1 ports per board
Digital T-1 HiZ line tap board Universal PCI form factor
Digital E-1 HiZ line tap board Built on DM3 media stream architecture
Contact center/quality assurance Signal monitoring
Call logging/recording environments Dual interface—two digital network interfaces for each digital
trunk tapped
Audio interface detects call progress and DTMF tones for host
application
H.100 compatible
Global tone detection
R4 API
Call logging API with GUI
Intel®Dialogic®DMV160LPHIZ DMV160LPHIZ 16 ports of voice processing and 16 ports analog high-impedance
Analog HiZ line tap board interface
Contact center/quality assurance PCI form factor
Call logging/recording environments Downloadable signal and call processing firmware
Onboard DSP
H.100 compatible
PBX Integration Boards intel.com/design/network/products/telecom/index.htm
140
PBX Integration Boards
Private branch exchange (PBX) integration boards let developers of voice mail, unified messaging, auto-attendant, and
interactive voice response (IVR) solutions build sophisticated enterprise applications that maximize the advanced digital
features of the PBX such as calling party identification; called party identification; message waiting lamp control; and fast,
reliable transfers.
PBX integration boards are considered premium integration products and used when an application requires more
sophisticated call control and call information than normally available through an analog connection. These advanced
features are sometimes collectively referred to as “digital features.” The term “integration” describes any method for
connecting an application to a PBX or key telephone system (KTS), but is most often used when referring specifically
to a product that offers advanced digital features.
These digital features are available without expensive upgrades to existing PBX equipment, since PBX integration boards
use the same interface to the PBX as a digital telephone—a concept known as “emulation.” PBX integration boards emulate,
or behave like, a digital telephone, thereby letting the boards provide advanced call control capabilities to an application
through an application programming interface (API).
Intel®Dialogic®DSE PBX Integration Boards
Intel®Dialogic®DSE PBX Integration boards offer advanced digital connectivity for popular PBXs in 8-, 12-, and 16-port
configurations for unified and Internet-ready call, voice, and fax processing applications in medium to large enterprises and
contact centers. The boards provide up to 16 ports of digital access to the PBX by emulating the powerful digital display
telephones used by attendants and ACD/contact center supervisors and agents.
The DSE board provides application developers with a high-level interface to digital PBXs through digital telephone
emulation, as well as the ability for media processing using H.100-compatible voice processing hardware. The Intel Dialogic
DSE PBX integration board runs on Windows NT* and Windows* 2000 operating systems and offers support for Microsoft
Telephony API* (TAPI), the industry standard for computer-telephony integration (CTI) interfaces. TAPI support means the
Intel Dialogic DSE PBX integration board works readily with a large number of existing applications, significantly reducing
development time.
Intel®Dialogic®D/82JCT-U-PCI-UNIV PBX Integration Board
The Intel®Dialogic®D/82JCT-U-PCI-UNIV PBX integration board offers advanced digital connectivity to many popular
PBXs for unified and Internet-ready call, voice, and fax processing applications in small to medium-sized enterprises.
Featuring programmable soft-ports capable of supporting voice, fax, call handling, and host-based speech technologies, this
board reduces the cost of ownership for systems requiring multimedia functionality. By choosing the D/82JCT-U-PCI-UNIV
board for enterprise applications, developers eliminate the complexities associated with analog or T-1 integration, as well as
costly investments in proprietary CTI links.
Intel®Dialogic®D/42JCT-U PBX Integration Board
The Intel®Dialogic®D/42JCT-U PBX integration board offers advanced digital connectivity to many popular PBXs for
unified and Internet-ready call, voice, and fax processing applications in small to medium-sized enterprises. Featuring
programmable soft-ports capable of supporting voice, fax, call handling, and host-based speech technologies, this board
reduces the cost of ownership for systems requiring multimedia functionality. By choosing the D/42JCT-U board for
enterprise applications, developers eliminate the complexities associated with analog or T-1 integration, as well as costly
investments in proprietary CTI links.
intel.com/design/network/products/telecom/index.htm PBX Integration Boards
141
PBX Integration Board Product Line Summary
The following table summarizes the PBX integration board product features. For additional information, refer to the product datasheet index at
http://www.intel.com/design/network/products/telecom/boards/signaling.htm#pbxib.
DSE PBX INTEGRATION BOARDS
PRODUCTS AND APPLICATIONS ITEM MARKET NAME KEY FEATURES
Intel®Dialogic®DL300908 DL300908 8, 12, and 16 digital interface ports
Intel®Dialogic®DL300912 DL300912 Supports Avaya* (Definity), NEC* (NEAX*), Nortel* (Meridian-1),
Intel®Dialogic®DL300916 DL300916 and Siemens* (Hicom*) PBXs
Voice mail/voice messaging PCI long form factor
Unified messaging Field expandable (DL300908 and DL300912)
Auto attendant
Interactive voice response (IVR)
Interactive media response (IMR)
Intel®Dialogic®DL300708 DL300708 8, 12, and 16 digital interface ports
Intel®Dialogic®DL300712 DL300712 Supports Mitel* (SX200, SX2000) PBXs
Intel®Dialogic®DL300716 DL300716 PCI long form factor
Voice mail/voice messaging H.100 compatible
Unified messaging Field expandable (DL300708 and DL300712)
Auto attendant
Interactive voice response (IVR)
Interactive media response (IMR)
Intel®Dialogic®DL300208 DL300208 8, 12, and 16 digital interface ports
Intel®Dialogic®DL300212 DL300212 Supports Siemens (ROLM) PBXs
Intel®Dialogic®DL300216 DL300216 PCI long form factor
Voice mail/voice messaging H.100 compatible
Unified messaging Field expandable (DL300208 and DL300212)
Auto attendant
Interactive voice response (IVR)
Interactive media response (IMR)
JCT PBX INTEGRATION BOARDS
PRODUCT AND APPLICATIONS ITEM MARKET NAME KEY FEATURES
Intel®Dialogic®D/82JCT-U D82JCTUPCIUNIV Eight digital interfaces plus eight media processing channels
Voice mail/voice messaging Four digital interfaces plus four media processing channels
Unified messaging Supports Avaya* (Definity), Mitel* (SX200, SX2000, SX50), Nortel*
Auto attendant (Meridian-1 and NorStar), Siemens* (Hicom* 150/300, ROLM*
Interactive voice response (IVR) CBX 9005, 9006, 9751), and NEC (NEAX* 2400/2000, Electra
Interactive media response (IMR) Professional*, Electra Elite*) PBXs
Supports G.711, OKI linear, GSM, and G.726 voice coders
Two channels of fax per card, sharable across CT Bus
DTMF tone generation and detection
Support for continuous speech processing
Pitch corrected speed control on playback
Universal PCI support—with System Release 5.1 or higher
H.100 compatible
Intel®Dialogic®D/42JCT-U D42JCTU Four digital interfaces plus four media processing channels
Supports Avaya (Definity), Mitel (SX200, SX2000, SX50), Nortel
(Meridian-1 and NorStar), Siemens (Hicom 150/300, ROLM
CBX 9005, 9006, 9751), and NEC (NEAX* 2400/2000, Electra
Professional, Electra Elite) PBXs
Supports G.711, OKI linear, GSM, and G.726 voice coders
One channel of fax per card, sharable across CT Bus
DTMF tone generation and detection
Support for Intel®Dialogic®Continuous Speech Processing
Technology
Pitch-corrected speed control on playback
PCI long form factor
H.100 compatible
SS7 Boards intel.com/design/network/products/telecom/index.htm
142
SS7 Boards
Signaling System 7 (SS7) is the signaling system that forms the backbone of the international telecommunications
network. Intel offers a complete family of SS7 products ranging from boards to gateways, scalable from 64 to more than
16,000 ports. These products are used for call control/call routing, wireless/wireline messaging, and intelligent network
applications.
For more information on SS7 gateway products, refer to the Signaling Gateway section.
Intel®NetStructureSS7 Boards
These E-1/T-1/V.35 SS7 intelligent interface boards, along with SS7 protocol software, give direct, high-performance
access to the worldwide telecommunications network. SS7 boards from Intel provide the platform for call control,
wireless applications, and Intelligent Networking (IN) in CompactPCI*, PCI, and ISA form factors. The onboard processor
runs a full range of protocols, from MTP up to ISUP, SCCP, TCAP, GSM-MAP, IS41-MAP, INAP, and CAP, independent
from the host. The boards also include H.100/H.110/SCbus interfaces for interworking with other standards-based boards in a
system. The boards include runtime support for many local variants, as well as the major ANSI and ITU versions. The latest
addition to the product family, the Intel®NetStructureSS7HDP Board, is a high-density, high-performance board that lets
developers create cost-effective, high-density, carrier-grade communications solutions requiring advanced SS7 performance.
SS7 Board Summary
The following table summarizes the SS7 board application features. For additional information, refer to the product datasheet index at
http://www.intel.com/design/network/products/telecom/boards/signaling.htm#ss7b
Applications that can be enabled using Intel®SS7 Products include:
Color ring-back tone (CRBT)
Location-based services (LBS)
Short Message Services (SMS)
Prepaid calling
Voice portals
Interactive voice response (IVR) and voice mail systems
Intelligent networking/IP long-haul
SS7 BOARDS
PRODUCTS AND APPLICATIONS FORM FACTOR ITEM MARKET NAME KEY FEATURES
Intel®NetStructureSS7HDP PCI SS7HDPD4TE 16 or 64 links per board
Onboard process runs protocols independent
of host
Common API between boards
Intel®NetStructureSPC12S PCI SS7SPC12S Two, four, or eight E-1/T-1 digital network
Intel®NetStructureSPC14 PCI SS7SPC14 interfaces per board
Onboard processor runs protocols
Intel®NetStructureSCPM8 CompactPCI* SS7CPM8 independent of host
Intel®NetStructureSCPR8RJ CompactPCI SS7CPR8RJ Support for MTP, ISUP, TUP, BT-IUP, SCCP,
TCAP, GSM-MAP, IS41-MAP,
Intel®NetStructurePCCS62E ISA SS7PCCS62E INAP, CAP, and many local variants
Intel®NetStructurePCCS62EU ISA SS7PCCS62EU including ANSI and ITU/ETSI
Intel®NetStructurePCCS62T ISA SS7PCCS62T Three or four SS7 links per board
Intel®NetStructurePCCS62TH ISA SS7PCCS62TH Common API between boards
Intel®NetStructurePCCS62EUH ISA SS7PCCS62EUH
Intel®NetStructurePCCS62EBH ISA SS7PCCS62EBH
Intel®NetStructurePCCS62SEB ISA SS7PCCS62SEB
Intel®NetStructurePCCS62ST ISA SS7PCCS62ST
intel.com/design/network/products/telecom/index.htm Station Interface Boards
143
Station Interface Boards
These integrated, multifunction telephony boards are designed specifically for small to medium-sized enterprise
applications including server-based private branch exchanges (PBXs) and contact centers. Equipped with a rich mix of
telephone station interfaces and media processing resources, the DI boards help developers and resellers create more efficient
and complete system solutions that offer increased functionality at a lower cost to end users. These are some of the overall
benefits of this product line.
Optimized for converged communications switching applications
Lower system cost through higher densities
Wide range of resources integrated onboard
Easy to add system features and enhancements
Built-in service reliability and availability
STATION INTERFACE PRODUCTS
Intel®Dialogic®DI0408LSAR2 Switching Board
The Intel®Dialogic®DI0408LSAR2 board is a single-slot, richly-configured trunk and station interface board designed for
small to mid-sized server-based PBX or contact centers starting at four loop-start analog interfaces and eight station
interfaces on a single board. Reliable and cost-effective, the DI0408LSAR2 board offers an optimized combination of
interfaces and resources for enterprise switching applications. Build a 4-trunk, 8-station converged communications system in
a single PCI slot with one DI0408LSAR2 board. Add up to eight integrated boards from Intel or other Intel®boards to
support virtually any small to medium-sized enterprise switching application.
Intel®Dialogic®DISI16R2, DISI24R2, and DISI32R2 Switching Boards
The Intel®Dialogic®DISI16R2, DISI24R2, and DISI32R2 switching boards connect analog telephone devices directly to
converged communications platforms to create affordable, small to mid-sized, server-based PBX telemarketing systems
and contact centers. Highly reliable and cost-effective, these integrated station interface products offer an optimized mix of
analog station interfaces and resources for building highly scalable systems.
The DISI16R2, DISI24R2, and DISI32R2 boards are full-size, single-slot PCI boards based on DM3 architecture that
provide 16, 24, or 32 station interfaces, respectively. They include conferencing, voice play/record, tone detection and
generation, and caller ID capabilities. The DM3 architecture provides access to independent, high-performance, firmware-
based network protocol and media processing resources that can be operated and integrated on compatible hardware
platforms.
Station Interface Boards intel.com/design/network/products/telecom/index.htm
144
Station Interface Product Line Summary
The following table summarizes the station interface board application features. For additional information, refer to the product datasheet index at
http://www.intel.com/design/network/products/telecom/boards/switching.htm#cssivb
STATION INTERFACE BOARDS
PRODUCTS AND APPLICATIONS ITEM MARKET NAME KEY FEATURES
Intel®Dialogic®DI0408LSAR2 DI0408LSAR2 Four loop-start trunk connections with call control
Intel®Dialogic®DI0408LSAR2EU DI0408LSAR2EU Eight analog station interfaces with call control
Intel®Dialogic®DI0408LSAR2I DI0408LSAR2I Full-size, PCI form factor
Intel®Dialogic®DI0408LSAR2EUI DI0408LSAR2EUI Fail-over of four trunks to four stations
Server-based PBX Eight voice play and record
Customer contact center Full complement of tone detection and generation
Nine-party conference resources
Two fax resources per board
Four CSP resources
On-hook and off-hook caller ID generation (FSK)
Message Waiting Indicator Control (FSK)
Music on-hold audio port
Support for Windows NT* , Windows* 2000, and Windows* XP
operating systems
Uses Global Call*, MSI, and R4 APIs
CT Bus H.100 compliant
Phone support, 2500 sets; caller ID phones; international complex
impedance phones
International approvals
DI0408LSAR2, DI0408LSAR2EU require external telephony
power supply (MSISCGLOBALPWR)
DI0408LSAR2I, DI0408LSAR2EUI require internal telephony
power supply (DIINTPPS)
Intel®Dialogic®DISI16R2 DISI16R2 Full-size, PCI form factor
Intel®Dialogic®DISI24R2 DISI24R2 16, 24, or 32 analog station interfaces with call control, caller
Intel®Dialogic®DISI32R2 DISI32R2 ID generation
Automatic call distributor (ACD) Full complement of dedicated voice play and record
Server-based PBX Full complement of dedicated tone detections and generation
Customer service 16 parties of conferencing with echo cancellation
Teleconferencing/conferencing bridge On-hook and off-hook caller ID generation (FSK)
Inbound and outbound telemarketing Message Waiting Indicator Control (FSK)
Music on-hold audio port
Support for Windows NT, Windows 2000, and Windows XP
operating systems
Uses Global Call, MSI, and R4 APIs
CT Bus H.100 compliant
International approvals
Requires external power supply (MSISCGLOBALPWR)
intel.com/design/network/products/telecom/index.htm Station Interface Boards
145
HIGH-DENSITY STATION INTERFACE PRODUCTS
The high-density station interface (HDSI) solution from Intel provides an open-architecture platform for converged
communication systems that integrates large-scale switching and voice processing resources under a single hardware and
software architecture. The HDSI solution is offered in both PCI and CompactPCI* configurations, which provide some of the
industry’s highest densities plus analog station connectivity in a single computer chassis slot.
High-Density Station Interface
The HDSI solution offered by Intel is an assembly consisting of either an Intel®NetStructureHDSI-PCIU board with
H.100-compliant CT Bus connectivity or an HDSI-CompactPCI* board assembly (including a CompactPCI baseboard
and a rear I/O module) with H.110-compliant CT Bus connectivity, connected to an external station interface box (SIB). The
CT Bus provides switching between trunks and stations and also allows expansion for additional network and resource
boards from Intel. Using just one computer chassis slot, this solution can support up to 120 stations with tone detection and
generation, and frequency shift key (FSK) caller ID transmission.
High-Density Station Interface Product Line Summary
The following table summarizes the HDSI product features. For additional information, refer to the product datasheet index at
http://www.intel.com/design/network/products/telecom/boards/switching.htm#sib.
HIGH-DENSITY STATION INTERFACE BOARDS
PRODUCTS AND APPLICATIONS ITEM MARKET NAME KEY FEATURES
Intel®NetStructureHDSI/480PCIU HDSI480PCIU Connectivity to 48, 72, 96, or 120 analog stations
Intel®NetStructureHDSI/480PCIJP HDSI480PCIJP Programmable ringing with automatic ring trip requires no additional
Intel®NetStructureHDSI/720PCIU HDSI720PCIU external circuitry
Intel®NetStructureHDSI/720PCIJP HDSI720PCIJP Station status event detection allows collection of call traffic statistics
Intel®NetStructureHDSI/960PCIU HDSI960PCIU via the application for cost-effective management of call setup and
Intel®NetStructureHDSI/960PCIJP HDSI960PCIJP call termination
Intel®NetStructureHDSI/1200PCIU HDSI1200PCIU Programmable gain provides station volume control from the
Intel®NetStructureHDSI/1200PCIJP HDSI1200PCIJP application and enables matching line levels from different devices
Intel®NetStructureHDSI/480CPCI HDSI480CPCI Supports programmable notification tones for metering time expired
Intel®NetStructureHDSI/720CPCI HDSI720CPCI Full complement of dedicated voice resources (not on HDSI 1200)
Intel®NetStructureHDSI/960CPCI HDSI960CPCI Provides unobtrusive monitoring of connections
Intel®NetStructureHDSI/1200CPCI HDSI1200CPCI Provides battery feed to phone (termination) sets
Inbound and outbound telemarketing C language application program interfaces (APIs) for Windows NT*,
PBX/key systems Windows* 2000, and Windows* XP operating systems
Operator services, such as billing automation, Onboard DTMF detection recognizes tones generated by phones
directory assistance, and intercept treatments connected to the stations
Automatic call distribution (ACD) Onboard tone generation provides for generating PBX-like tones
Local information services to the stations such as dial tone, ring back, and busy
Programmable cadence allows selection of ring cadence options
Onboard FSK generation allows the transmission of Caller ID
to Class, type caller ID phones, as well as the ability to turn on
and off message waiting indicators
Media Gateways intel.com/design/network/products/telecom/index.htm
146
Telecom Platforms
Intel offers open, modular product platforms that can provide a solid foundation on which communications OEMs can build
large- or small-scale converged communications systems for both the enterprise and the public network market segments.
Gateway products from Intel include the Intel®NetStructurePBX-IP Media Gateway, offering enterprises a phased
migration to IP networking, and the Elite Gateway* which lets enterprises eliminate toll calls between offices by adding voice
to existing wide area networks (WANs). In general, use the PBX-IP Media Gateway to connect to digital PBXs or drive
digital phones and the Elite Gateway to connect to analog trunk interfaces or drive analog phones.
Media Gateways
INTEL®NETSTRUCTUREPBX-IP MEDIA GATEWAY
The Intel NetStructure PBX-IP Media Gateway allows a well-planned, phased migration to an IP network, making it
a smart solution for enterprises not yet ready (or willing) to completely abandon their investment in legacy PBX
equipment. This building block from Intel provides a simple, cost-effective transition to voice and data convergence for
enterprises with PBXs. Connected externally, it offers an IP solution that works with current legacy equipment. It supports
H.323 or SIP-based applications running on network servers, remote terminals, or other devices.
Connected between a PBX or a digital handset and a LAN, WAN, or managed packet network, the Intel NetStructure
PBX-IP Media Gateway converts proprietary digital PBX messages into a format suitable for transmission over standard IP
networks. With a PBX, the gateway is used for emulation; with a digital handset, the gateway is used for phone driving. Each
gateway unit contains eight digital PBX (emulating) or digital station interfaces (phone driving) and a 10/100 BaseT Ethernet
connection for connecting to a LAN.
PBX-IP Media Gateway Product Line Summary
The following table summarizes the PBX-IP media gateway product features. For more information, refer to the product datasheet index at
http://www.intel.com/design/network/products/telecom/gsp/index.htm#gateways
PBX-IP MEDIA GATEWAY PRODUCT LINE
PRODUCT AND APPLICATIONS ITEM MARKET NAME KEY FEATURES
Intel®NetStructurePIMG80PBXDNI PIMG80PBXDNI Emulating
Intel®NetStructurePIMG80PBXMTLDNI PIMG80PBXMTLDNI Eight ports per unit. Stack multiple units for higher densities.
Intel®NetStructurePIMG80LS PMIG80LS PBX compatibility: Mitel* (PIMG80PBXMTLDNI), Avaya*, NEC*,
IP-enabled PBX network Nortel*, Siemens* (PMIG80PBXDNI) various analog (PIMG80LS)
Voice over Internet Protocol 10/100 BaseT Ethernet LAN port
(VoIP) extension to branch offices Supports H.323 or Session Initiated Protocol (SIP) VoIP standards
Centralized VoIP applications servers including Administration through Web, telenet, or local serial port
IP-based voice mail and unified messaging Appliance packaging (approximately 10 in x 10 in x 2 in [25 cm x
Teleworking/telecommunting 25 cm x 5 cm])
Intel®NetStructurePIMG80PBXDSI PIMG80PBXDSI Phone driving
Eight ports per unit. Stack multiple units for higher system densities.
Handset compatibility: Avaya, NEC, Siemens (PIMG80PBXDSI)
10/100 BaseT Ethernet LAN port
Support H.323 or SIP VoIP standards
Administration through Web, telenet, or local serial port
Appliance packaging (approximately 10 in x 10 in x 2 in
[25 cm x 25 cm x 5 cm])
intel.com/design/network/products/telecom/index.htm Media Gateways
147
ELITE GATEWAY*
The Elite Gateway is a cost-effective and reliable way to place voice and fax calls over an IP network. Service providers
and enterprises can both use the gateway to cut costs. The gateway is equipped with remote management capabilities.
Modules are provided for analog trunks or analog telephones.
Elite Gateway* Product Line Summary
The following table summarizes the Elite Gateway product features. For more information, refer to the product datasheet index at
http://www.intel.com/design/network/products/telecom/gsp/index.htm#gateways
ELITE GATEWAY* PRODUCT LINE
PRODUCT AND APPLICATIONS ITEM MARKET NAME KEY FEATURES
Elite Gateway* E8044PORTFXO 1U rack-mountable with four ports per module (up to two modules
xSP service provision for enterprises E804S4PORTFXS per chassis) or desktop appliance with two or four ports
E-Business data center E8088PORTFXO 10/100 BaseT Ethernet LAN port
Enterprise voice intranet E808S8PORTFXS Analog loop-start trunk or analog telephone station interfaces
Web-enabled contact center E808X4PTFXOFXS (FXS, FXO and E&M)
Click-to-connect business FXS4PORTBLADE Supports H.323 VoIP standard
Web-enabled auto-attendant FXO4PORTBLADE Supports T.38 fax transmission
IP-based PBX ELITE4PORTENM Built-in dial plan
E4PORT2FXS2FXO Web browser, Telnet, or RS-232 for administration
EDESKTOP4FXO
EDESKTOP4FXS
EDESKTOP2FXS
SS7 Server Products intel.com/design/network/products/telecom/index.htm
148
Signaling Gateways
Signaling System 7 (SS7) is the signaling system that forms the backbone of the international telecommunications
network. Intel offers a complete family of SS7 products ranging from boards to servers that scale from 64 to more than
16,000 ports. These products are used for call control, signaling, wireless messaging, and intelligent network applications.
For more information on SS7 server products, refer to the SS7 Boards section.
SS7 SERVER PRODUCTS
Intel®NetStructureSIU131 and SIU231 SS7 Signaling Gateways
The Intel®NetStructureSIU131 and SIU231 signaling gateways are cost-effective and highly reliable SS7 servers that
provide straightforward ways to build intelligent network entities such as Service Control Points (SCP) and Intelligent
Peripherals (IP) using standard computing platforms.
Intel®NetStructureSIU520 Signaling Gateway
The Intel®NetStructureSIU520 Signaling Gateway provides SS7 connectivity for multi-chassis call control, wireless,
or Intelligent Networking (IN) applications. A message-based protocol application programming interface (API),
compatible with other SIU systems and with Intel®NetStructureSS7 boards, is presented over IP to application hosts.
SIU520 unit can run a wide range of SS7 protocols and local variants, enabling worldwide deployment in a variety of
applications.
Intel®NetStructureDigital Signaling Converter
This multifunction, multi-protocol intelligent “black-box” device accepts SS7 or ISDN signal inputs and outputs
another SS7 or ISDN signal. With a simple configuration and capable of fitting into your existing network, Intel
NetStructure Digital Signaling Converters let ISDN or previously incompatible SS7 equipment to be interconnected with
public network systems.
Intel®NetStructureSG430 SS7 Signaling Gateway
Interfacing to both SS7 and IP networks, the Intel®NetStructureSG430 SS7 Signaling Gateway lets SS7 information be
carried to and from IP-based applications such as softswitches and mobile network elements. This signaling gateway
bridges IP networks, ISDN equipment, and SS7 equipment. It is a key enabler for building IP-based telecommunications
service nodes such as VAD platforms or wireless location/messaging services. It is also used to SS7-enable voice systems,
giving access to the power and flexibility of the core telecommunications network.
intel.com/design/network/products/telecom/index.htm SS7 Server Products
149
SS7 Server Product Line Summary
The following table summarizes the SS7 server product features. For more information, refer to the product datasheet index at
http://www.intel.com/design/network/products/telecom/gsp/index.htm#sg.
SS7 SERVERS
PRODUCTS AND APPLICATIONS ITEM MARKET NAME KEY FEATURES
Intel®NetStructureSIU131 SIU131 Support for MTP, ISUP, TUP, BT-IUP, SCCP, TCAP, GSM-MAP,
Intel®NetStructureSIU231 SIU231 IS41-MAP, INAP, CAP, and many local variants including
Mobile short messaging/location-based services ANSI and ITU/ETSI
IP telephony gateways Multiple interface formats—E-1/T-1/V.35
Intelligent Networking SCP Fault-resilient load-sharing configurations
IP-based application service provider Common API with boards for applications scalability
Multi-chassis service platforms API over TCP/IP interface to up to 32 applications hosts
VAD/IVR and voice mail systems
Intel®NetStructureSIU520 SIU520 Traffic rates up to 800 TCAP transactions per second, or 450 ISUP
SS7 service node creation, including or TUP calls per second
Wireless nodes—short message service Up to 12 SS7 signaling links in 12 link sets
center (SMSC), home register (HLR), Intel®2U carrier-grade NEBS-3/ETSI carrier-grade server location
roaming gateways Dual fault-resilient configurations
Wireless short messaging service (SMS)
platforms/gateways
Intelligent Networking (IN) service control
point (SCP), Internet protocol (IP)
Voice-activated dialing (VAD), voice portal,
interactive voice response (IVR), or voice
mail systems
Intel®NetStructureDSC110 DSC110 Support for ANSI/ITU ISP, TUP, BT-IUP and other local variants
Intel®NetStructureDSC210 DSC210 Conversion to/from all supported SS7 variants
Intel®NetStructureDSC310 DSC310 Conversion to/from ISDN and DPNSS
Rapid deployment of ISDN equipment Parameter manipulation to bridge incompatible networks
Interconnection of incompatible SS7
equipment
Advanced network inter-working
Intel®NetStructureSG430 Signaling SG430 SS7-to-IP inter-working using open standards
Gateway Simultaneous support for multiple SS7 variants—
Mobile short messaging/location-based ANSI/ITU/ETSI/JAPAN
services Multiple interface formats—E-1/T-1/V.35
Distributed switch Supports connectivity to SIGTRAN-compatible application servers
SS7 long haul and offload Enables multi-host distributed application server systems
GPRS integration STP-like operation
2G-to-3G inter-working Fault-resilient configurations
IP telephony gateways High-performance in 2U form factor
Intelligent Networking SCP SS7/SIGTRAN application server software also available
IP-based application service provider
intel.com/design/network/products/telecom/index.htm Voice Portal Reference Assemblies Voice Portal Reference Assemblies intel.com/design/network/products/telecom/index.htm
150
Media Servers
MEDIA SERVER PRODUCTS
Voice Portal Reference Assemblies from Intel
Voice portal reference assemblies from Intel are packaged, integrated hardware platforms application developers can use to
build hardened solutions for rapidly-expanding e-Business and speech-enabled voice portal solutions. It brings together
the power of Intel®server technology with Intel®Dialogic®telephony interface boards in an integrated server platform.
The voice portal reference assemblies are comprised of three major, logical components: the Intel®Server Board
SE7500WV2, a hardened chassis that provides a rugged platform for building flexible voice portal solutions; Intel®Dialogic®
telephony interface boards; and Intel®Dialogic®Continuous Speech Processing Technology, software that consists of a new
library of functions, device drivers, firmware, and technical documentation to help create leading-edge ASR applications.
Voice Portal Reference Assemblies Product Line Summary
The following table summarizes the voice portal reference assemblies’ product features. For more information, refer to the product datasheet index at
http://www.intel.com/design/network/products/telecom/gsp/index.htm#servers
VOICE PORTAL REFERENCE ASSEMBLIES
PRODUCTS AND APPLICATIONS KEY FEATURES
Voice Portal Reference System
Voice-assisted dialing Supports one or two Intel®Xeonprocessors—up to 2.2 GHz
Speech-enabled interactive voice response (IVR) (512 KB L2 cache)
Unified messaging Two integrated Intel®PRO/100+ Server Adapters
Voice mail server (Intel®82550PM controller)
Customer care/contact center Triple Peer PCI buses
Operator services (collect, calling card, and prepaid) Integrated ATI* Rage* XL video controller with 8 MB of video memory
And many others Supports up to 6 GB of PC133 ECC SDRAM memory with six
DIMM sockets
Validated on Windows* and Linux* operating systems
Voice Portal Reference Assemblies intel.com/design/network/products/telecom/index.htmintel.com/design/network/products/telecom/index.htm Voice Portal Reference Assemblies
151
The following are the descriptions and Item Market Names for the latest releases.
ITEM MARKET NAME DESCRIPTION
VPWIN2KE1S75 Windows* 2000 Professional, 1 Gig, 30 ports
VP12WIN2KT1S Windows 2000 Professional, 1 Gig, 48 ports
VP12WIN2KT1D Windows 2000 Professional, 1 Gig, 96 ports
VP12WIN2KE1D Windows 2000 Professional, 1 Gig, 120 ports
VP22WIN2KT1 Windows 2000 Professional, 2 Gig, 192 ports
VP22WIN2KE1 Windows 2000 Professional, 2 Gig, 240 ports
PFSR22003960 Windows 2000 Professional, 4 Gig, 288 ports
PFMULWIN196 Windows 2000 Professional, 2 Gig, 96 ports, NEBS compliant
PFMULWIN11200 Windows 2000 Professional, 2 Gig, 120 ports, NEBS compliant
PFLANWIN296 Windows 2000 Professional, 4 Gig, 192 ports, NEBS compliant
PFLANWIN211200 Windows 2000 Professional, 4 Gig, 240 ports, NEBS compliant
PF13W41T1 Windows 2000 Server, 1 Gig, 4 ports
PF13W41EURO Windows 2000 Server, 1 Gig, 4 ports
PF13W4802T1 Windows 2000 Server, 2 Gig, 48 ports
PF13W964T1 Windows 2000 Server, 1 Gig, 96 ports
PF13W964T12G Windows 2000 Server, 2 Gig, 96 ports
PF13W12E1 Windows 2000 Server, 1 Gig, 120 ports
PF13W12004E1 Windows 2000 Server, 2 Gig, 120 ports
PF23W1GB Windows 2000 Server, 1 Gig, 28 ports
PF23W1GBE1 Windows 2000 Server, 1 Gig, 34 ports
Call Processing Software intel.com/design/network/products/telecom/index.htm
152
Telecom Software
Telecom software from Intel helps developers rapidly build robust, feature-rich, custom converged communications and
modular network solutions by managing the applications and the low-level hardware and software development tasks.
Intel®Dialogic®System Release software and software development kits (SDKs) include device drivers, application
programming interfaces (APIs), and utilities for Intel®telecom boards and related products. A variety of development tools—
including the Intel®NetMergeCT Application Development Environment—help developers shorten development cycles
and deliver products to market faster.
Intel®NetMergeCall Processing Software
Intel®NetMergeCall Processing Software V6.0 is computer telephony (CT) call control server software capable of
connecting a wide range of telephone switches to a variety of data processing environments. The software’s client/server
technology supports industry-standard hardware, operating systems, network services, and call control programming
interfaces such as C, C++, Java*, TAPI, and ActiveX*, letting application developers easily integrate more intelligent call
control features into their existing business applications.
For original equipment manufacturers (OEMs), independent software vendors (ISVs), application developers, and
integrators looking to expand their reach into the contact center market segment, Intel NetMerge Call Processing Software
provides the ability to:
Add telephony features to their customers' existing business applications
Expand their businesses by providing current—and new—customers with ways to enhance their existing contact centers
Integrate new features and functionality into legacy systems while maintaining their customer's investment in existing
equipment and infrastructure
Support new communication environments such as IP with minimal changes to the application.
Intel®NetMergeCall Processing Software Components
In addition to Intel NetMerge Call Processing Software, Intel offers several software components that can assist you in
developing or CTI-enabling business applications. Each of the following Intel NetMerge Call Processing Software
components provides complex functions from which computer-telephone integration (CTI) applications can be built. In
many cases, these components can be used to provide CTI capabilities in an existing business application without having
to CTI-enable the application.
Intel®NetMergeEnhanced SDK provides an intuitive higher-level telephony programming interface that shields
developers from many of the variations of different telephone switches.
Intel®NetMergeCall Information Manager manages call-related data as the call is routed through the enterprise.
Intel®NetMergeCall Monitoring Manager brings real-time call monitoring functionality to your applications.
The call monitoring manager simplifies call monitoring for large numbers of telephony devices, easily integrating with
business applications.
Intel®NetMergeCall Routing Manager handles the technical aspects of call routing applications. Just add the business
logic.
CSTA Switch Simulator emulate a CSTA-compliant switch environment, including devices such as telephones, trunks
and ACD queues.
intel.com/design/network/products/telecom/index.htm Call Processing Software
153
Call Processing Software Product Line Summary
The following table summarizes the Intel NetMerge Call Processing Software product features. For more information, refer to the product datasheet index at
http://www.intel.com/network/csp/products/ctconnect/family.htm
CALL PROCESSING SOFTWARE PRODUCT LINE
PRODUCT AND APPLICATIONS KEY FEATURES
Intel®NetMergeCall Processing Software
Customer relationship management (CRM)/e-CRM Runs under Windows NT*, Windows* 2000, and Windows* XP
Call recording and quality management operating systems
Contact center workforce management Includes client APIs for Microsoft Windows* 95, Windows* 98,
Contact center Windows 2000, Windows NT*, Windows XP, Hewlett-Packard HP-UX*,
Help desk and Compaq Tru64 UNIX*, and OpenVMS* operating systems
Interactive voice response (IVR) Supports call control programming interfaces such as C, C++, Java*,
Screen pop TAPI, and ActiveX*
Support for Computer Supported Telecommunications Application
(CSTA) Phase III protocol
The following are the descriptions and Item Market Names for the latest releases.
ITEM MARKET NAME DESCRIPTION
CPSV6ENTWINPPK CPS V6.0 Enterprise License for Windows* PP key
CPSV6ENTWINUSB CPS V6.0 Enterprise License for Windows USB key
CPSV6FULLWINPPK CPS V6.0 Full License for Windows PP key
CPSV6FULLWINUSB CPS V6.0 Full License for Windows USB key
CPSV6LOWWINPPK CPS V6.0 Low License for Windows PP key
CPSV6LOWWINUSB CPS V6.0 Low License for Windows USB key
CPSV6MIDWINPPK CPS V6.0 Mid License for Windows PP key
CPSV6MIDWINUSB CPS V6.0 Mid License for Windows USB key
CPSV6MONWINPPK CPS V6.0 Monitor License for Windows PP key
CPSV6MONWINUSB CPS V6.0 Monitor License for Windows USB key
CPSV6PROUPG CPS V6.0 Product Upgrade License for Windows
CTCESDKSUBSRV Enhanced Software Development Kit
CTCCMPCALFKIT Version 3 for COMPUCALL, Full Sys Prod. for Windows NT*
CTCFULLSUNKIT CT Connect V5.0 Full Sys. for SOLARIS
CTCCIMUNLDVKIT Call Information MGR V3.5 Single Site, Unlimited Devices
CTCCIM25KIT Call Information MGR V3.5, Single Site, 25 devices
CTCCIM30MS25DEV Call Information MGR V3.5, Multisite, 25 devices
CTCCIMUPDKIT Call Information MGR V3.5 Update kit
CTCCIMUDMSITEKT Call Information MGR V3.5 Multisite, Unlimited Devices
CTCOMPLV30MONNT Version 3 for COMPUCALL, monitor for Windows NT
CTCCRMGR Call Routing Manager
CSTAASSIMFORNT CSTA Switch Simulator V1.0 Prod. for Windows NT
NCCSV1.1WINPPK Network Call Control Software V1.1 for Windows PP key
NCCSV1.1WINUSB Network Call Control Software V1.1 for Windows USB key
NECGATEWAYV20NT NEC Gateway* V2.0 Product for Windows NT
Call Processing Software intel.com/design/network/products/telecom/index.htm
154
Intel®NetMergeCall Manager
Intel®NetMergeCall Manager is software that works in conjunction with the Microsoft Speech Server*, providing
management and control over Intel®Dialogic®telephony resources. Through a set of application programming interface
(API) functions, the call manager enables applications built using Microsoft speech tools to control and monitor calls and
apply speech resources. The call manager sets up and maintains connections with voice browsers, making it possible to
monitor a device in order to make or receive calls, control events, distribute incoming calls across available ("ready")
browsers, and place outbound calls on available device resources. The call manager:
Maps outbound call requests from a Speech Application Language Tag (SALT) interpreter to available channels on the
Intel Dialogic telephony board. The number of channels available depends on the type of telephony board you install.
Maps call control signaling events from the switch into CSTA XML messages that can be understood by the
SALT interpreter.
Maps CSTA XML messages from the SALT interpreter into telephony requests that can be sent to the switch.
Handles media processing, such as recording audio.
Each Intel NetMerge Call Manager package includes a single CD containing:
Intel NetMerge Call Manager Software
Intel Dialogic System Release Software
Global Call Software
Runtime license for Intel®NetMergeCall Manager and Intel®Dialogic®System Release software
Note: Customers do not have to purchase the Intel Dialogic System Release Software.
Also available is an entry-level, single-span bundle that includes one Intel®NetStructureDMV480A-2T1-PCI Combined
Media Board and a 24-port Intel NetMerge Call Manager licensed software package. Packaging standard, off-the-shelf
DM3 telephony hardware with 24 ports of call manager software provides developers with a single span of telephony at
a reduced price.
Call Manager Software
The following table summarizes the Intel NetMerge Call Manager Software product features. For additional information, refer to the product datasheet index at
http://www.intel.com/network/csp/products/8661web.htm
CALL PROCESSING SOFTWARE PRODUCT LINE
PRODUCT AND APPLICATIONS KEY FEATURES
Intel®NetMergeCall Manager is the enabling media and telephony Runs under Windows* 2003 Server
control component of these application areas: Supports Microsoft Speech Server* (SALT)
Speech-enabling existing IVR and contact center applications Modular design
Self-help Web services for customer service Manages low-level telephony events, messages, and media
Customer relationship management Support a variety of telephony boards and protocols
The following are the descriptions and Item Market Names for the latest releases.
ITEM MARKET NAME DESCRIPTION
NMCALMGR4PTPKG Intel®Call Manager 4 port licensed software kit and other associated
Intel®software components
NMCALMGR16PTPKG Intel Call Manager 16 port licensed software kit and other associated
Intel software components
NMCALMGR24PTPKG Intel Call Manager 24 port licensed software kit and other associated
Intel software components
NMCALMGR48PTPKG Intel Call Manager 48 port licensed software kit and other associated
Intel software components
NMCALMGR96PTPKG Intel Call Manager 96 port licensed software kit and other associated
Intel software components
KDMVACM24PT Single-Span Bundle: Intel®DMV480A-2T1 board, Intel Call Manager
24 port license, and other associated Intel software components
intel.com/design/network/products/telecom/index.htm Development Tools
155
Development Tools
INTEL®NETMERGECT APPLICATION DEVELOPMENT ENVIRONMENT
The Intel®NetMergeCT Application Development Environment is a set of development tools and runtime environments
that help shorten time-to-market and to revenue by helping developers build robust, portable computer telephony (CT)
applications quickly and easily.
This product reduces the need to write directly to a telephony device’s API in C or C++. Because of its underlying
architecture, Intel NetMerge CT Application Development Environment eliminates the need for developers to learn new
telephony hardware, APIs, and protocols. The Intel NetMerge CT Application Development Environment architecture
provides an abstraction layer that sits on top of telephony device APIs and performs low-level CT tasks, saving time that
developers can better use to focus on building innovative applications.
Intel NetMerge CT Application Development Environment offers the flexibility of programming in different environments:
either a CT-specific environment or a Windows environment. Both interfaces provide access to the many benefits of the
underlying architecture. The Intel NetMerge CT Application Development Environment’s application development (AD)
language is a CT-specific scripting language that includes a flowcharter and a debugger. Also available are AD ActiveX*
objects, which incorporate directly into a Windows visual programming environment such as Visual Basic* and provide
CT-specific development functions. Intel®NetStructureHost Media Processing software is now supported under Intel
NetMerge CT Application Development Environment Version 8.2 SP2.
CT Application Development Environment Product Line Summary
The following table summarizes the CT Application Development Environment product features. For more information, refer to the product datasheet index at
http://www.intel.com/network/csp/products/indx_aet.htm#tools. A complimentary evaluation version is available for download at
http://www.intel.com/network/csp/products/ctade/evalsw.htm.
CT APPLICATION DEVELOPMENT ENVIRONMENT PRODUCT LINE
APPLICATIONS KEY FEATURES
CT Application Development Environment Provides native support for speech recognition engines including
Voice portal Microsoft* SAPI, Nuance*, Phillips* SpeechPearl*, and SpeechWorks*
Voice messaging and unified messaging Designed/tested for Windows NT* and Windows* 2000 operating systems
Voice-activated dialing (VAD) Transparent support for R4 and Global Call* APIs
Text-to-speech e-mail Available for System Release 5.1
Speech-enabled interactive voice response (IVR) Supports 15 languages including Cantonese, Dutch, English, French,
Contact center Mandarin, and Spanish
Customer relationship management (CRM) Supports regional language differences such as British and
Fax-on-demand American English, and Latin American and Castilian Spanish
Debit card
Host Media Processing (supported under V8.2 SP2)
Voice over IP—DM/IP boards
ISDN—Basic Rate Interface (BRI)
CT Application Development Environment
The following are the descriptions and Item Market Names for the latest releases.
ITEM MARKET NAME DESCRIPTION
CTADE0PROGKEY 0 port, programmable key
CTADE0PRGKEYUSB 0 port, programmable key USB
CTADE2DEVKEY Version 8.2, 2-port development kit
CTADE2RT 2-port, Runtime hardware key
Development Tools intel.com/design/network/products/telecom/index.htm
156
ITEM MARKET NAME DESCRIPTION
CTADE4RT 4-port, RunTime hardware key
CTADE8RT 8-port, RunTime hardware key
CTADE12RT 12-port, RunTime hardware key
CTADE16RT 16-port, RunTime hardware key
CTADE24RT 24-port, RunTime hardware key
CTADE24DEVKEY Version 8.2, 24-port development kit
CTADE30RT 30-port, RunTime hardware key
CTADE30DEVKEY Version 8.2, 30-port development kit
CTADE48RT 48-port, RunTime hardware key
CTADE60RT 60-port, RunTime hardware key
CTADE64RT 64-port, RunTime hardware key
CTADE72RT 72-port, RunTime hardware key
CTADE96RT 96-port, RunTime hardware key
CTADE120RT 120-port, RunTime hardware key
CTADE128RT 128-port, RunTime hardware key
CTADE192RT 192-port, RunTime hardware key
CTADE240RT 240-port, RunTime hardware key
CTADE256RT 256-port, RunTime hardware key
CTADE2DEVKEYUSB Version 8.2, 2-port development kit USB
CTADE2RTUSB 2-port, RunTime hardware key USB
CTADE4RTUSB 4-port, RunTime hardware key USB
CTADE8RTUSB 8-port, RunTime hardware key USB
CTADE12RTUSB 12-port, RunTime hardware key USB
CTADE16RTUSB 16-port, RunTime hardware key USB
CTADE24RTUSB 24-port, RunTime hardware key USB
CTADE24DEVKEYUSB Version 8.2, 24-port development kit USB
CTADE30RTUSB 30-port, RunTime hardware key USB
CTADE30DEVKEYUSB Version 8.2, 30-port development kit USB
CTADE48RTUSB 48-port, RunTime hardware key USB
CTADE60RTUSB 60-port, RunTime hardware key USB
CTADE64RTUSB 64-port, RunTime hardware key USB
CTADE72RTUSB 72-port, RunTime hardware key USB
CTADE96RTUSB 96-port, RunTime hardware key USB
CTADE120RTUSB 120-port, RunTime hardware key USB
CTADE128RTUSB 128-port, RunTime hardware key USB
CTADE192RTUSB 192-port, RunTime hardware key USB
CTADE240RTUSB 240-port, RunTime hardware key USB
CTADE256RTUSB 256-port, RunTime hardware key USB
intel.com/design/network/products/telecom/index.htm Telecom System Software
157
Telecom System Software
INTEL®DIALOGIC®SYSTEM RELEASE SOFTWARE
Intel®Dialogic®System Release Software is a collection of software components used to build and operate solutions that
use the latest features of Intel®Dialogic®and Intel®NetStructureboard products. This includes host software,
downloadable firmware, software development tools (SDKs), and management utilities. The software has a high level of
interoperability and typically includes significant infrastructure enhancements. It is designed for broad distribution with
a complete uninstall/install required.
Intel®Dialogic®System Release 6.0 PCI for Windows*
The Intel®Dialogic®System Release 6.0 PCI for Windows* (Intel®Dialogic®SR 6.0 PCI for Windows) enables higher-
density solutions with increased usability and flexibility that use Intel®Dialogic®and Intel®NetStructureboards. This
release supports new and enhanced telecom products from Intel, along with major enhancements to existing capabilities. This
release provides support for the new Intel®NetStructureDMV600BTEP, DMV1200BTEP, and DMV3600BP combined
media boards and the Intel Dialogic DMV160LPHIZ high-impedance board.
Intel®Dialogic®Continuous Speech Processing Technology (which enables high-quality speech recognition), Global Call*
(which provides a uniform call control interface), and the IP Media Library (which controls media on IP devices), all have
new capabilities enabling more robust solutions. Intel®Dialogic®SR 6.0 PCI for Windows* adds support for the Windows
2003 operating system in addition to supporting the Windows XP and Windows 2000 operating systems.
Intel®Dialogic®System Release 6.0 CompactPCI* for Windows* and Linux*
The Intel®Dialogic®System Release 6.0 CompactPCI* for Windows and Linux* is a telco-ready release that includes
support for the high-density product line featuring the Intel®NetStructureIPT boards, the Intel®NetStructure
DMN160TEC Network Interface Board, and the Intel®NetStructureDM/V-A combined media and high-density station
interface (HDSI) boards.
Intel Dialogic SR 6.0 CompactPCI supports high availability features such as peripheral hot swap (PHS) and warm
redundant system slot (RSS), full SNMP support, fault management (detection, diagnosis, isolation, recovery, and repair),
remote management, faster system download and initialization, and more. Intel®Dialogic®Continuous Speech Processing
(Intel®Dialogic®CSP) and conferencing software modules can be implemented and scaled to hundreds of speech—and audio
conferencing—enabled ports and still deliver high-quality speech recognition and conferencing effectively. By adding these
capabilities, Intel provides OEMs with the building blocks they need to deliver robust carrier-grade solutions that use less
hardware resources and space, resulting in decreased development, deployment, and operating costs.
Intel®Dialogic®System Release 5.1.1 FP1 for Windows*
The Intel®Dialogic®System Release 5.1.1 Feature Pack 1 for Windows (Intel®Dialogic®SR 5.1.1 FP1) adds support for
Windows XP Professional, as well as support for all products and features supported in previous Intel Dialogic SR 5.x
releases. New products supported include the new Intel®Dialogic®DMV160LP Combined Media Board, Intel®Dialogic®
CPI/400BRI PCI Fax Board, and the new Intel®Dialogic®DISI16R2, DISI24R2, and DISI32R2 switching boards. Intel
Dialogic SR 5.1.1 FP1 also includes newly-enhanced features for some current board products including the Intel®Dialogic®
DI0408LSA Switching Board and the new Intel®Dialogic®DI0408LSAR2 Switching Board.
Telecom System Software intel.com/design/network/products/telecom/index.htm
158
Intel®Dialogic®System Release 5.1.1 for Windows*
The Intel®Dialogic®System Release 5.1.1 for Windows (Intel®Dialogic®SR 5.1.1) includes supports for the “A” series
quad-pan and resource boards, dual-span boards, the high impedance (HiZ) boards from Intel, and the Intel®
NetStructureHigh-Density Station Interface boards. All Intel®Dialogic®SR 5.0 and Intel®Dialogic®SR 5.01 supported
products and features are also supported in Intel®Dialogic®SR 5.1.1.
Intel®Dialogic®System Release 5.1 Feature Pack 1 for Linux*
The Intel®Dialogic®System Release 5.1 Feature Pack 1 for Linux (Intel®Dialogic®SR 5.1 FP1 for Linux) supports Red
Hat* 7.2 and 7.3 and introduces support for several new features and the new Intel®Dialogic®D/4PCIU voice boards.
Intel®Dialogic®SR 5.1 FP1 enhances the IP capabilities by adding support for host-based call control on DM/IP boards,
adding IP Media Library support of RFC 2833 and T.38 fax, and Global Call API support for H.323 and SIP protocol stacks.
In addition to supporting all previous features and products from Intel Dialogic SR 5.1 for Linux, this release includes
support for universal PCI versions of the DM/F and DM/VF boards.
Intel®Dialogic®System Release 5.1 for Linux*
The Intel®Dialogic®System Release 5.1 for Linux (Intel Dialogic SR 5.1) supports the Red Hat 7.1 and 7.2 operating
systems, the high-density DM3-based boards, and the entire portfolio of communications boards and all the features
found in the Intel Dialogic System Release 5.0 and Intel Dialogic System Release 5.01.
Highlighted in this release are the Intel®Dialogic®DM/V-A boards with enhanced voice processing features and protocols,
the DM/IP (100BaseT) boards, and the Intel®NetStructureDM/VF integrated T-1/E-1 voice and fax processing products.
High availability and high-performance features required for carrier-grade telco, service provider, and large enterprise
solutions are also included. Intel Dialogic SR 5.1 also supports lower-density communications building blocks from Intel on
Linux platforms for building cost-effective solutions such as interactive voice response (IVR) and messaging for cost-
sensitive market segments.
Telcos and high-end service providers require highly available systems to sustain their revenue goals and to minimize their
total cost of ownership. Intel Dialogic SR 5.1 for Linux enables solutions using the CompactPCI form factor supporting
peripheral hot-swap, SNMP support, on-demand diagnostics, single-board start/stop operation, firmware tracing, faster
system download and initialization, and more. Intel Dialogic Continuous Speech Processing Technology and conferencing
software modules can be implemented and scaled to hundreds of speech- and audio-conferencing-enabled ports and still
deliver high-quality speech recognition and effective conferencing. By adding these capabilities, Intel provides OEMs with
the building blocks they need to deliver robust, carrier-grade solutions that use fewer hardware resources and less space,
resulting in decreased development, deployment, and operating costs.
intel.com/design/network/products/telecom/index.htm Telecom System Software
159
System Release Software Product Line Summary
The following table summarizes the system release software product features. For additional information, refer to the product datasheet index at
http://www.intel.com/network/csp/products/indx_aet.htm#srs
SYSTEM RELEASE SOFTWARE
PRODUCT KEY FEATURES
Intel®Dialogic®System Release 6.0 PCI Runs under Windows* 2003, Windows* XP, and Windows* 2000
for Windows* Supports the Intel®NetStructureDMV600BTEP, DMV1200BTEP, and
DMV3600BP combined media boards
Supports the Intel Dialogic DMV160LPHIZ high-impedance board
Echo cancellation to 64 mSec support on Intel NetStructure combined
media boards
Global Call* API support for Call Progress Analysis, call transfer, call
hold and retrieve on DM3 boards, and access to SIP message information
fields
IP Media Library API support for RFC 2833 and T.38 fax
Learn Mode and Tone Set API support on Intel NetStructure boards
Enhancements to configuration, administration, and diagnostic software
make it easier to install, configure, and manage
Intel®Dialogic®System Release 6.0 Runs under Windows 2000
CompactPCI* for Windows Supports standards-based basic peripheral hot-swap (PHS)—PICMG*
2.12 and warm redundant system slot (RSS)
Increased fault management (FM) for detection, diagnosis, isolation,
recovery, and repair
SNMP support that also includes standard DS1 and ISDN MIB (2495)
and MIB-II
Clocking API and daemon provides clock management (fallback)
eliminating system failure from clock faults
Command line interface (CLI) for the operation of all boards (start, stop,
remove, and diagnose)
Locking CompactPCI board ejectors guards against accidental board
removal
Intel®Dialogic®System Release 6.0 Runs under Red Hat* 7.3 Linux operating system, offering quick
CompactPCI for Linux* out-of-the-box installation and configuration
Same features and products supported as V6.0 for Windows
Intel®Dialogic®System Release 5.1.1 FP1 Runs under Windows NT*, Windows 2000, and Windows XP operating
for Windows systems
Same products and features as Intel Dialogic SR 5.1.1 for Windows,
plus additional product support and features
New products supported—Intel Dialogic DMV160LP Combined Media
Board, Intel Dialogic CPi/400-BRI PCI Fax Board, and Rev 2 DI products
Intel®Dialogic®System Release 5.1.1 Runs under Windows NT and Windows 2000 operating systems
for Windows Same products and features as Intel Dialogic SR 5.1 for Windows,
plus additional product support and features
Enhanced IP Link, expanded fax support, improved high availability
Intel®Dialogic®System Release 5.1 FP1 Runs under Red Hat 7.2 and 7.23 operating systems
for Linux Supports the Intel Dialogic D/4PCIU voice board
Host-based H.323 and SIP IP call control
Universal PCI versions of Intel NetStructure DM/F and DM/VF boards
Global Call API support for H.323 and SIP Protocol stacks
IP Media Library support for RFC 2833 and T.38 fax
Telecom System Software intel.com/design/network/products/telecom/index.htm
160
SYSTEM RELEASE SOFTWARE (continued)
PRODUCT KEY FEATURES
Intel®Dialogic®System Release 5.1 Runs under Red Hat* 7.1 and 7.2 operating systems
for Linux* Quad-span and resource “A” series boards can support up to 120 channels
of conferencing in a single slot
Dual-span, quad-span, and resource “A” series boards provide up to
120 channels of continuous speech processing, conferencing, or other
media processing features
HDSI boards provide support for up to 120 analog station devices
DM3 voice, fax, and network interface in a single PCI slot offering
24 (T-1) or 30 (E-1) universal ports of voice, tone, fax processing, and
network interface available on any call at any time in a 1:1:1:1 ratio
DM/IP boards let VoIP calls be connected from the platform to the SCbus
Increased high-availability features for carrier-grade solutions, including
CompactPCI* peripheral hot-swap (like-for-like replacement)
Interactive diagnostics for DM3 technology (POST on-demand)
Detects and repairs firmware faults
Improved system initialization time
System Release Software
The following are the descriptions and Item Market Names for the latest releases.
ITEM MARKET NAME DESCRIPTION
SR511WIN Intel®Dialogic®System Release 5.1.1 for Windows*
SR511WINSP1 Intel®Dialogic®System Release 5.1.1 Service Pack for Windows
SR511WINFP1 Intel®Dialogic®System Release 5.1.1 Feature Pack 1 for Windows
SR51LINUX Intel®Dialogic®System Release 5.1 for Linux*
SR51LINUXSP1 Intel®Dialogic®System Release 5.1 Service Pack for Linux
SR51LINUXFP1 Intel®Dialogic®System Release 5.1 Feature Pack 1 for Linux
SR60CPCIWIN Intel®Dialogic®System Release 6.0 for CompactPCI* for Windows
SR60CPCILINUX Intel®Dialogic®System Release 6.0 for CompactPCI for Linux
SR60PCIWIN Intel®Dialogic®System Release 6.0 PCI for Windows
intel.com/design/network/products/telecom/index.htm Host Media Processing Software
161
Host Media Processing Software
INTEL®NETSTRUCTUREHOST MEDIA PROCESSING SOFTWARE RELEASE
1.1 FEATURE PACK 1 FOR THE WINDOWS* OPERATING SYSTEM
Intel®NetStructureHost Media Processing (Intel®NetStructureHMP) Software performs media processing tasks on
general-purpose servers based on Intel®architecture (IA) without the use of specialized hardware. The software provides
media services that can be used to build flexible, scalable, and cost-effective next-generation IP media servers.
When installed on a system, the software looks to the customer application like an Intel®telecom board with DM3
architecture, but all media processing takes place on the host processor. To help customers accelerate their time-to-market and
migrate their existing applications to IP, the software also supports two direct APIs: R4 for media processing and Global
Call* for call control. Release 1.1 uses a built-in network interface card (NIC) to provide IP connectivity. It also supports the
industry-standard H.323 and Session Initiation Protocol (SIP) protocols for call control, and call transfers using the H.450.2
supplementary services protocol.
Host Media Processing Software Product Summary
The following table summarizes the Host Media Processing product features. For additional information, refer to the product datasheet index at
http://www.intel.com/network/csp/products/8762web.htm.
HOST MEDIA PROCESSING SOFTWARE PRODUCT LINE
PRODUCT AND APPLICATIONS KEY FEATURES
Intel®NetStructureHost Media Processing Software Supports computing platforms with Intel®Celeron®, Intel®Pentium®III,
Release 1.1 & Release1.1 Feature Pack 1 Intel®Pentium®4, and Intel®Xeonprocessors with ability to
Voice mail and messaging scale up to 120 media processing channels per system
IVR and announcements Compliant with the ITU H.323 specification for call control
Conferencing server Supports voice record/play with automatic gain control and volume
Unified messaging control in a variety of file formats including OKI ADPCM, linear A-law
and µ-law PCM, and Wave
Supports streaming media over RTP using a G.711 voice coder with
choice of packet size (10 ms, 20 ms, and 30 ms) and RFC-2833 DTMF
packets
Uses built-in Ethernet card for network connectivity
Compliant with the ITU H.323 and H.450.2 specifications and IETF
SIP for call control
IP multicast support
Provides a mechanism for integration with any third-party call control
or connection control over IP stack
Supports streaming media over RTP using the G.711, G.723.a, G.729a,
G.729b voice coders
Supports the Global Call* and R4 APIs
Includes administration tools such as configuration manager and
SNMP software
Supports voice record/play with AGC control and volume control
Detects and generates standard in-band DTMF and user-defined tones
Full conferencing features include scalability with a maximum of
120 parties per system
Quality of service (QoS) threshold alarms and packet loss reduction
T.38 fax termination support
Supports Intel®Dialogic®Continuous Speech Processing Technology
Supports Windows* 2000, XP, 2003 Server operating systems
Host Media Processing Software intel.com/design/network/products/telecom/index.htm
162
Intel®NetStructureHost Media Processing Software Release 1.1
for the Windows* Operating System
The following are the Item Market Names and descriptions for the latest releases.
ITEM MARKET NAME DESCRIPTION
DMIPS10C11W Conferencing—Includes advanced features such as coach/pupil mode,
tone clamping, and active talker notification (one conferencing port)
DMIPS10E11W Enhanced RTP—Adds the capability of streaming voice over RTP using
the G.723.1, G.729a, and G.729b coders to the RTP G.711 resource. Add
on top of the RTP G.711 resource (one port of transcoding)
DMIPS10F11W T.38 fax termination—T.38 fax termination (over UDP) (one port of fax)
DMIPS10I11W IP call control—Provides call control stacks for the H.323 with H.450.2
supplementary services, and SIP protocols, with Global Call* API support.
Can only be used together with the RTP G.711 resource (one port of IP
call control)
DMIPS10R11W RTP G.711—Provides the capability of streaming digitized voice over
RTP using the G.711 coder with 10 ms, 20 ms, and 30 ms frames. Required
for each RTP session (one RTP stream)
DMIPS10S11W Speech integration—Integrates host media processing with speech engines
for ASR and TTS support by using the continuous speech processing APIs.
Add on top of the voice resource (one port of speech)
DMIPS10V11W Voice—Play with volume control, record with AGC, DTMF, user-defined
tone detection and generation, including RFC 2833 and H.245 UII
(one port of voice)
developer.intel.com/design/bridge Bridge Products
163
TRANSPARENT PCI-TO-PCI BRIDGES
Intel’s family of second-generation Transparent PCI-to-PCI bridge chips provides essential building blocks for extending
PCI bus capabilities and delivering higher performance for data-intensive applications. With their secondary PCI buses,
the Intel®2115x family enables designers to increase the number of supported PCI slots in x86, Intel®Pentium®processor,
PowerPC* Alpha*, and other system architectures, and to design multi-component PCI adapter cards with an independent
bus on the card.
For improved performance, Intel’s second-generation Transparent PCI-to-PCI bridges are designed for compliance with
2.3 of the PCI Local Bus Specification. In addition, these second-generation bridge products feature support for delayed
transactions and have deeper buffers than earlier-generation bridges designed for PCI Revision 2.0. They are compliant with
the Advanced Configuration Power Interface (ACPI) and PCI Bus Power Management Specification.
FEATURES
Proven PCI technology for extending PCI bus capabilities
Compliant with ACPI (Advanced Configuration Power Interface) the Power-Management Interface specification
Compliant with PCI (Peripheral Component Interface Specification) Rev. 2.3
Increases the number of PCI slots that can be supported in a system and multi-device and multi-function add-in card designs
Available in 33 MHz and 66 MHz and 32-bit or 64-bit bus architectures
Support for delayed transactions and an advanced buffer architecture
JTAG interface and general I/O pins for embedded device designs (see line card)
64-bit PCI bus provides the high bandwidth manufacturers need to deliver high-performance servers and workstations for data-intensive applications
TRANSPARENT PCI-TO-PCI BRIDGES
BASE MANUFACTURER MAT’L. MASTER PROCESS PRIMARY INTERFACE SECONDARY INTERFACE OTHER PRODUCT FEATURES
PART NUMBER REVISION PART NUMBER PART NUMBER TECH SPEED PCI BUS SPEED PCI BUS JTAG GPIO PACKAGE POWER MGMT
21152 BB 835912 854 33 MHz 32 bit 33 MHz 32 bit No No 160 PQFP Yes
21154 AE 821305 854 33 MHz 64 bit 33 MHz 64 bit Yes Yes 304 PQFP Yes
BE 821308 854 66 MHz 64 bit 66 MHz 64 bit Yes Yes 304 PQFP Yes
Process Technology Key: 854
Intel®0.35 micron (854.6)
Customer Technical Questions: 1-800-628-8686
support@mailbox.intel.com
Bridge Products
Bridge Products developer.intel.com/design/bridge
164
PCI-X Bridge Products
TRANSPARENT PCI-X BRIDGE
Intel’s newest member of the PCI discrete bridge chip family is a transparent bridge for the latest, eXtended version of
PCI architecture—PCI-X. PCI-X provides significantly increased I/O throughput over standard 33MHz and 66MHz PCI
modes. Operating at a maximum 133MHz clock frequency, the 64-bit BW31154 provides throughput of up to 1GB/sec—
twice the max throughput of 64-bit, 66MHz PCI. It also runs at 66MHz and 100MHz PCI-X frequencies, and also can
be operated seamlessly in standard 33MHz or 66MHz PCI speeds for complete compatibility with legacy PCI devices and
frequency isolated systems or modules. With the 31154’s 10 secondary PCI clocks and other advanced, second-generation
PCI-X bridge features, design engineers can readily develop high slot and/or high-density device attach applications in PCI
or cPCI form factors for motherboards, add-in cards, backplanes or embedded platforms.
For improved performance, Intel’s Transparent PCI-X bridge is designed for compliance with 1.0b of the PCI-X Local Bus
Specification. In addition, the highly capable and flexible 31154 features a dynamic 8KB transaction buffer, 5V tolerance for
legacy PCI devices, an opaque memory mode (for semi-transparent operation), cPCI hot swap capability and asynchronous
clock frequency support.
FEATURES
Latest PCI-X technology for extending PCI and PCI-X bus capabilities
Compliant with ACPI (Advanced Configuration Power Interface) the Power-Management Interface specification
Compliant with the PCI SIG’s PCI-X v 1.0b specification
Increases the number of PCI slots that can be supported in a system or backplane, as well as increasing the number of devices (multi-function and/or
multi-device) in add-in cards and HBAs
64-bit bridge supporting 33 MHz and 66 MHz PCI; and 66MHz, 100MHz and 133MHz PCI-X architectures and speeds; in any arrangement between
primary and secondary bus segments
Support for PCI-X split transaction protocol and asynchronous clock frequency (25MHz – 133MHz)
JTAG interface and general I/O pins for embedded device designs (see line card)
64-bit PCI/PCI-X bus provides the high-bandwidth hardware vendors need for high-performance enterprise-class desktops and servers, embedded
platforms, backplanes and add-in cards.
TRANSPARENT PCI-TO-PCI BRIDGES
BASE MANUFACTURER MAT’L. MASTER PROCESS PRIMARY INTERFACE SECONDARY INTERFACE OTHER PRODUCT FEATURES
PART NUMBER REVISION PART NUMBER PART NUMBER TECH SPEED PCI BUS SPEED PCI BUS JTAG GPIO PACKAGE POWER MGMT
BW31154 857381 859 133MHz 64-bit 133MHz 64-bit Yes Yes 421BGA Yes
Process Technology Key: 859
0.18 micron
developer.intel.com/design/bridge Bridge Products
165
NON-TRANSPARENT PCI-TO-PCI BRIDGES
Intel’s 21555 non-transparent PCI-to-PCI bridge chips enable add-in card vendors to deliver high-performance, intelligent
option cards and embedded products that previously were not possible. Designed specifically for applications where a
processor is used behind a PCI-to-PCI bridge, the chip provides a clean architecture for creating a product with multiple
processor domains.
The non-transparent PCI-to-PCI bridge chip provides designers of intelligent controllers and embedded systems with a
solution capable of resolving resource conflicts between a PCI-based host system and a PCI-based subsystem. This gives a
local processor maximum flexibility in mapping and managing subsystem resources. In addition, this non-transparent bridge
product is fully compliant with Revision 2.3 of the PCI specification including delayed transactions. It also features cPCI hot
swap capability.
FEATURES
Independent address spaces and asynchronous clocks deliver unparalleled application flexibility
64-bit primary and secondary bus interfaces deliver high performance for data-intensive applications
Compliant with PCI (Peripheral Component Interface Specification) Rev. 2.3
Secondary bus arbitration support for up to nine bus master devices
Evaluation Design Kit speeds time-to-market
Available in 33 MHz and 66 MHz bus speed
NON-TRANSPARENT PCI-TO-PCI BRIDGES
BASE MANUFACTURER MAT’L. MASTER PROCESS PRIMARY INTERFACE SECONDARY INTERFACE OTHER PRODUCT FEATURES
PART NUMBER REVISION PART NUMBER PART NUMBER TECH SPEED PCI BUS SPEED PCI BUS JTAG GPIO PACKAGE POWER MGMT
21555 A Intel 848191 854 33 MHz 64 bit 33 MHz 64 bit Yes Yes 304 PBGA Yes
BB Intel 848192 854 66 MHz 64 bit 66 MHz 64 bit Yes Yes 304 PBGA Yes
Process Technology Key: 854
Intel®0.35 micron (854.6)
Customer Technical Questions: 1-800-628-8686
support@mailbox.intel.com
Bridge Products developer.intel.com/design/bridge
166
PCI-X SERIAL ATA HOST DISK CONTROLLER
Intel’s 31244 PCI-X Serial ATA Host Disk controller is a 4-port, 1.5Gbps Host Controller backwards compatible with
Parallel ATA software and drivers. Using the 31244 host Serial ATA controller, system and storage vendors can provide
high-performance, low-cost disk controller and RAID 0, 1 and 10 capability on motherboards, storage/RAID cards or
external disk/storage subsystems for DAS, SAN or NAS solutions. Designed with features such as Direct Port Access
(independent, concurrent port control) and dedicated DMA channel for each port, the 31244 PCI-X Serial ATA host disk
controller takes the inherent advantages of PCI-X and Serial ATA 150MBs (per port) architectures even further with
sophisticated features and enterprise extensions to SATA I—such as LED, enhanced voltage, drive hot plug and powerful
Serial ATA (native) Command Queuing support. In addition, the 31244 controller is backwards compatible with PCI 33MHz
and 66MHz speeds (32-bit or 64-bit bus modes), as well as supporting PCI-X 66MHz, 100MHz and 133MHz in 64-bit mode.
FEATURES
Four Serial ATA channels/ports at 1.5Gbps (150MBs) each
PCI-X 1.0a-compliant 64-bit bus width running at 133, 100 or 66MHz speeds; backwards compatible with 32-bit or 64-bit PCI v 2.3 running
at 33 or 66MHz speeds
Direct Port Access (DPA mode or Master/Master) control over each Serial ATA port
Dedicated DMA channel for each Serial ATA port
Parallel ATA mode (Master/Slave) support
Serial ATA Command Queuing with support for up to 32 queue pairs
RAID 0, 1, 10 (including boot support and pre-O/S utility)
Supports hot-plug Serial ATA hard disk drives
Activity LED support for each driver, or one LED for the system
Enhanced voltage support for storage/HDD backplane applications
DPA Mode software drivers for Windows* 2000, Windows XP and Windows CE .NET; and Linux* Redhat 8.0
NOTE: In addition, a Host Bus Adapter (HBA) card and Customer Reference Board (CRB) are available.
developer.intel.com/design/mcs96 Microcontrollers
167
A POWERFUL FOUNDATION
The Intel®MCS®96 microcontroller family of products are popular for 16-bit embedded microcontrollers. The 8XC196
products are found in a variety of embedded applications. The high-performance register-to-register architecture is well
suited for complex real-time control applications such as industrial controllers, automation, printers, pattern recognition and
motor control. Our broad portfolio of 8XC196 microcontroller products has been designed to meet your varying peripheral,
memory size, addressability, serial communications and performance requirements.
The 8XC196 family shares a common core architecture which is register based. This register-based architecture eliminates
the accumulator bottleneck seen in most other microcontroller families and enables fast context switching. All devices have
bit, byte, word and some 32-bit operations. The table below summarizes the capture and generation of high-speed signals on
the HSIO and EPA.
OPERATION 16 MHz 20 MHz 25 MHz 50 MHz
HSI (High-speed input) 1.125 us 900 ns
HSO (High-speed output) 1 us 800 ns
EPA (Event processor array) 250 ns 200 ns 160 ns 80 ns
The 8XC196 Bus Controller features programmable wait-state generation, 8- or 16-bit bus width, and features a HOLD/
HLDA protocol for multiprocessor applications. The 8XC196NP/NU have dynamically selectable multiplexed/demultiplexed
bus and a chip select unit.
The MCS 96 microcontroller product family has three distinct product lines. The most recent products form the EPA
(Event Processor Array) family. This family of devices has the advanced peripherals which include a flexible input/output
system and the EPA module itself. The HSIO family consists of devices that have the High-Speed Input/Output subsystem.
The Motor Control family is comprised of devices that support motor control applications. This last family also uses the EPA
system for I/O control.
The majority of the MCS96 microcontrollers product family is offered with on-chip EPROM (size vary) and some have
on-chip CAN 2.0. The advanced 88CO196EC microcontroller offers high level of integration with on chip Flash (256Kbyte)
and CAN 2.0.
MCS
®
96 Microcontrollers
Microcontrollers developer.intel.com/design/mcs96
168
FEATURES COMMON TO ALL MCS®96 PRODUCTS BENEFITS
16-bit CPU High performance. Up to 50 MHz
On-chip memory Low cost. Cost-effective solution
Register-to-register architecture Efficient. More compact code than accumulator-based architecture, which
allows more efficient use of memory (no accumulator bottleneck for
any operations/computations)
Unlimited usage. Minimum of 232 registers can be directly addressed
at any time
Three operand instructions Create efficient code. Reduces data memory usage
Bus controller features programmable wait-state generation and Economical. Efficient usage of wide variety of memory and 8- or 16-bit
bus widths peripheral devices
Flat addressability of large register files Fewer barriers. Avoids artificial limitation and barriers of segmented files
Three distinct product lines: Advanced. Peripherals include configurable input/output ports and
event processor array (EPA) modular event processor array structure
high-speed input/output (HSIO) Speed. Devices with high-speed input FIFO and output system
motion control (MC) Waveform. Uses waveform generator and event processor array
system for input/output
MCS®96 Microcontroller Family—High-Speed Input/Output
Intel designed the HSIO family for applications that require high-speed input and output and closed-loop event control.
These devices can lock events in the high-speed output unit (CAM), which allows you to repeat events with no software
overhead. The HSIO family comprises the 8X196KB, 8XC196KC, 8XC196KD and 88CO196EC.
The CHMOS version (denoted with a “C,” i.e., 8XC196) is code- and peripheral-compatible with the obsolete NMOS
products. The HSIO allows interrupt servicing in the background with minimal CPU overhead and reduces external
components for temperature control, strain gauge and motion detection, providing an attractive solution for applications
that need accurate timing for multiple events.
FEATURES FOUND ON HSIO PRODUCTS BENEFITS
Register-to-register architecture; up to 1,000-byte register Efficient. No accumulator bottleneck, fast context switching
RAM and up to 32K internal OTPROM
1.4 uSec 16x16 multiply, 2.4 uSec 32/16 divide Speed. Good math performance for fast and compact calculation loops
8-channel, 8- or 10-bit A/D converter Fewer components. Reduces external components requirement by
integrating A/D functionality on chip
8- or 16-bit external bus Performance. Optimal memory interfacing
Full-duplex serial port Tracking. Versatile event tracking
Pulse-width-modulated output Less overhead. Reduces processor overhead
High-speed I/O subsystem Timing. For accurate timing of multiple events
Peripheral transition server on KC and KD Less overhead. Reduces CPU overhead during interrupt servicing
Integrated Flash and CAN on 88CO196EC Integration. Attractive solution where CAN and/or Flash memory is
required, enabling easy upgrade for current 96 users based on the same
architecture
developer.intel.com/design/mcs96 Microcontrollers
169
MCS®96 Microcontroller Family—Motor Control
Intel’s Motor Control family provides efficient three-phase AC induction motors, DC brushless motors and inverter
applications. The product line features the 8XC196MC, 8XC196MD and 8XC196MH. The 8XC196MC/MD/MH have a
unique three-phase waveform generator that enables precise and efficient motor control. All include standard microcontroller
peripherals so the same chip can also handle additional functions such as front-panel control. These products are ideal
single-chip solutions for reducing system cost. Motor control can also be possible through software using 88CO196EC
microcontroller.
FEATURES FOUND ON MC PRODUCTS BENEFITS
Register-to-register architecture Efficient. No accumulator bottleneck, fast context switching
Three-phase PWM waveform generator Ease of operation. Simplifies software and hardware requirements
Up to 12-channel EPA Timing. High-resolution timing of multiple events
Peripheral transaction server (PTS) Less overhead. Reduces CPU overhead required to service interrupts
Up to 14-channel A/D converter Efficiency. Monitors multiple analog signals
16-bit watchdog timer Reliability. Increases reliability of system
488 MC/MD and 744 MH byte register file, high-performance CPU Speed. Provides fast context switching, fast instruction execution
On-chip ROM/OTPROM 16K MC/MD and 32K MH Storage. Available for code and data storage
Frequency generator (MD only) Applications. For infrared (remote) functionality
Two serial ports (MH only) Applications. For increased communication capability
MCS®96 Microcontroller Family—Event Processor Array (EPA)
The EPA family of devices is ideal for complex, real-time control applications that require a flexible input/output system
and yield a finer granularity in timing measurement. EPA products include the 8XC196NT, 8XC196NP, 80C196NU and
80C196EA is the newest addition to this family, operating at 40 MHz and is integrated with a large number of peripherals and
4K of data RAM. The 80C196EAs demultiplexed address/data bus with three chip select outputs make it easier to design
low-cost memory solutions. The 88CO196EC is the newest addition to this family, operating at 40MHz and is highly
integrated with on-chip 256K Flash and CAN 2.0.
FEATURES FOUND ON EPA PRODUCTS BENEFITS
Register-to-register architecture Speed. Fast context switching and compact calculation loops
High-speed capture/compare EPA channels Precision. Precision event capture, output compare
Peripheral transaction server Less overhead. Reduces system overhead to service interrupts
Optional ROM on chip when codes are stable; NP is ROM or Solutions. Provides single-chip solutions
CPU-only, NU is CPU-only
Up to 1,000-byte-register RAM Performance. Fast data manipulation with register space
Up to 31 prioritized interrupt sources Flexibility. Handles a variety of commands
NP/NU feature dynamic demux/mux address/data bus and chip Performance. Fast external memory access using commodity or
unit with six chip select pins; NP supports 3V operation low-cost memory
devices; allows for glueless memory interface; NU provides a clock-
doubled performance increase over all MCS®96 microcontroller devices
and approximately twice the performance of the C196NP
Integrated Flash and CAN on 88CO196EC Integration. Attractive solution where can and/or Flash memory is
required, enabling easy upgrade for current 96 users based on the same
architecture
MCS®96 Microcontroller Family—Integrated CAN 2.0 88CO196EC Microcontroller
The Intel®88CO196EC contains a highly integrated set of functions, including the CAN2.0 in-vehicle networking
protocol, plus an SDU, stack monitor, 16-channel A/D converter, and an enhanced EPA. With the SDU, designers can set
a hardware breakpoint for debugging purposes, plus read and write code RAM as well as program the Flash memory through
a high-speed, dedicated serial link. The stack monitor detects stack overflow and underflow conditions and invokes a non-
maskable interrupt if the stack pointer crosses a user defined boundary. The enhanced EPA, capable of transmitting and
receiving Pulse Width Modulation (PWM) signals, handles high-speed input and output events with reduced overhead and
trigger A/D conversions. The 16-channel, 8- or 10-bit A/D converter, with an individual register for each channel, supports
an auto-scan mode and consumes no CPU overhead.
MCS®296 Microcontroller—Advanced 16-Bit Controller with DSP Capability
Intel’s MCS®296 microcontroller is the latest addition to the Intel MCS 96 microcontroller family. The 80296SA is
performance enhanced compared to the 8XC196NP and 8XC196NU controllers. The 80296SA is designed to be binary-
code compatible with the 8XC196NP/NU products, and can be directly dropped into an 8XC196NP/NU socket with an
immediate boost to system performance.
The 80296SA exhibits improved math performance over previous architectures making it suitable for embedded digital
signal processing and feedback control systems. The 80296SA can perform at 12.5 DSP MIPS and 16 general-purpose
MIPS. The 80296SA has 512 bytes of register RAM and 2 Kbytes of code/data RAM and utilizes the same peripherals as
the 8XC196NP/NU. Additionally, like the 8XC196NU, the 80296SA includes a phase-lock loop. With this peripheral, an
external clock drives the device at one-half or one-quarter the maximum internal clock frequency allowing the system to use
low-frequency external clock or oscillators while maintaining the maximum internal operating frequency. Enhancements to
the chip select unit, the interrupts, and the timers are also implemented. The MCS 96 windowing scheme was enhanced in the
80296SA to include the windowing of some external memory locations for direct addressing, thus improving the overall
efficiency of external memory instructions.
FEATURES BENEFITS
50 MHz Operation Speed. Higher performance
Binary-code compatible with Intel’s 8XC196NU/NP Cost. Protect existing software investment microcontrollers
Pipelined architecture/reduced execution states Faster. Up to five times faster than the 8XC196KC20
6 Mbyte of address space Memory headroom. More memory headroom for high-level language
compilation
2 Kbytes code/data RAM High-speed code memory. More space to store data
40-bit accumulator Added signal processing capability. Multiply and accumulate executes
in 80 ns using 40-bit hardware accumulator. Useful in signal processing
and feedback control system
512 bytes register RAM Fast data manipulation. Within register space
MCS®296 Microcontroller Development Tools
The Intel MCS 296 controller is complemented by an extensive set of hardware and software tools from Intel and leading
third-party development tool vendors. Software development is supported by ANSI C compiler, assembler, linker/locator,
debugger, and simulators from Tasking, IAR, and ChipTools. Hardware design and debug is supported by In-Circuit
Emulators from Nohau*.
Product evaluation is facilitated using Intel’s 296SA Eval Kit. This kit includes a board, debug monitor, and evaluation
copies of third-party software development tools to facilitate code development and execution.
Microcontrollers developer.intel.com/design/mcs96
170
developer.intel.com/design/mcs96 Microcontrollers
171
MCS®96 Microcontroller Development Tools
The Intel MCS 96 microcontroller product family is supported by a variety of development tools, and evaluation boards.
Complete sets of development tools and C compilers are available from third-party vendors. Third-party vendors also
support development tools for in-circuit emulation. For more information about development tools support, contact your Intel
sales representative or visit the Web site at: http://appzone.intel.com/toolcatalog/
MCS®96 Microcontroller family—CAN Product family
Intel has developed a complete product family that supports the Controller Area Network (CAN) protocol. Intel offers
the CAN capability as either a standalone communications controller or integrated onto high-performance 16-bit
Microcontroller. CAN is a development of Robert Bosch GmbH and was designed specifically for high-speed in-vehicle
networking. CAN is widely accepted in automotive applications and supports high-speed networking standards such as
DeviceNet* and SDS*, that are used in industrial control and factory automation. CAN utilizes a multi-master bus
configuration for the transfer of communication objects between nodes of the network.
All members of the Intel®CAN product family utilize the features of the 82527-standalone communications controller
and are software compatible. They all support the standard and extended message identifier CAN specification 2.0 part B.
CAN—Standalone Communications Controller—82527
The 82527 serial communications controller, which is a joint development of Robert Bosch GmbH and the Intel
Corporation, is a highly integrated device that performs serial communication according to the CAN protocol. It features
advanced message filtering, I/O port reconstruction, and storage for 15 message objects and multiple CPU interface options.
FEATURES BENEFITS
CAN specification 2.0 Capable of transmitting, receiving, and performing message filtering on
extended message frames
Programmable global mask Allows users to globally mask any identifier bits of the incoming message.
Two 8-bit bidirectional I/O Ports Two 8-bit I/O ports for communication with external peripherals/CPU,
allowing two-way communications
Flexible CPU Interface Ability to directly interface with many different CPUs
Programmable message objects of 8-byte data length Message object can be configured as either transmit or receive
CAN—16-bit Microcontroller with Integrated CAN
Intel has combined the 82527 CAN protocol controller with the industry-standard MCS®96 16-bit Microcontroller
architecture on one chip. The result is a family of integrated Microcontrollers well suited for a variety or real-time event
control applications that require high-speed networking. Integrating CAN on the CPU decreases printed circuit board area,
allows faster access to CAN messages and reduces overall system cost. All members of the MCS 96 family have bit, byte,
word and some 32-bit operations. The 87C196CA and 87C196CB microcontrollers with integrated CAN provide an upgrade
path for the members of the 87C196Kx/87C196Jx families.
Microcontrollers developer.intel.com/design/mcs96
172
16-bit Microcontroller with Integrated CAN-TN87C196CA
TN87C196CA has a similar memory and peripheral set similar to the 87C196JT including 32Kbytes of on-chip
OTPROM, 1Kbytes of on-ship register RAM and 256 bytes of code RAM. This product is suited for applications
requiring real-time event control such as anti-lock braking systems, 4-cylinder engine control and programmable logic
controllers.
FEATURES BENEFITS
Integrated CAN 2.0 Built-in CAN 2.0 capability, reducing overall application cost
6 high-speed capture/compare EPAs High-resolution timing of multiple events
Up to 38 I/O ports High interface potential with external peripherals
Full duplex synchronous serial I/O port (SSIO) Enables communication with other SSIO-equipped peripherals
Configurable 8- or 16-bit external bus Works with various external peripherals vs. just 8 or 16-bit peripherals
16-bit Microcontroller with Integrated CAN-TN87C196CB
TN87C196CB is a highly integrated superset of the 87C196CA. It contains all 87C196CA features, and additional
memory, more I/O capability, user-selectable 4X PLL clock multiplier and additional features.
The 87C196CB provides a compatible upgrade path for the 87C196CA applications, such as 4 or 6 cylinder engine control,
integrated vehicle dynamics, programmable logic controllers and motor controls.
FEATURES BENEFITS
Integrated CAN 2.0 Built-in CAN 2.0 capability, reducing overall application cost
Up to 56 I/O port Pins Extremely high interface potential with external peripherals
1 Mbytes external addressing More memory headroom for high-level language compilation
4X PLL Clock multiplier Greater flexibility in choosing an external clocking source
56 Kbytes on-chip OTPROM Highest internal memory available for all Intel®16-bit controllers
1.5 Kbytes on-chip register RAM Fast data manipulation with register space
developer.intel.com/design/mcs96 Microcontrollers
173
MCS
®96 MICROCONTROLLER LINE CARD
ANALOG
SPEED ROM/ REGISTER CODE I/O I/O SERIAL INPUT ADDRESS
PRODUCT (MHz) OTPROM RAM RAM PINS TYPE PORTS CHANNELS SPACE PKG TEMP KEY FEATURES
HSIO FAMILY
8XC196KB 16 168K 232 NO 48 HSIO 1 8 64K N-68, C, E, A Low-cost entry level, suitable for replacing NMOS 8X9X
S-80
8XC196KC20 20 16K 488 NO 48 HSIO 1 8 64K N-68, C, E, A 16K OTPROM, 488-byte RAM 3-PWM, PTS
S-80,
SB-80
8XC196KD/ 16, 20 32K 1000 NO 48 HSIO 1 8 64K N-68, C, E, A 32K OTPROM, 1000-byte RAM Version of KC
S-80,
SB-80
8XC196KD20
Timer Counters = 2; Once Test Mode = Yes; Process = CMOS
MOTION CONTROL FAMILY
8XC196MC 16 16K 488 NO 53 8 EPA PTS MODE 13 64K N-84, E PTS, PWM, 3-Phase Waveform Generator
S-80,
U-64
8XC196MD 16 16K 488 NO 64 12 EPA PTS MODE 14 64K N-84, E MC Enhancement with Frequency Generator
S-80
8XC196MH 16 32K 744 NO 52 6 EPA 2 8 64K N-84, E Enhanced 3-Phase Waveform Generator with 32K
S-80, EPROM
U-64
Timer Counters = 2; Once Test Mode = Yes; Process = CMOS
EPA Family
87C196CA 20 32K 1000 256 44 6 EPA 2 6 64K N-68 E Integrated CAN 2.0 controller
87C196CB 20 56K 1.5K 512 56 10 EPA 2 8 1M N-84 E Integrated CAN 2.0, 1 MB linear address range, 2K RAM
88CO196EC 40 0 1.26Kb 275 59 15 EPA 3 16 2 MB NG-132 C,E Integrated CAN 2.0, 256 Kbytes on chip Flash
8XC196NP 25 4K 1000 NO 32 4 EPA 1 0 1 MB S-100, C 1 MB Linear Address Range, Low Power, 6 Chip select,
SB-100 3 PWMs, Demux bus
8XL196NP 14 4K 1000 NO 32 4 EPA 1 0 1 MB S-100, C 3V at 14 MHz version of 8XC196NP
SB-100
8XC196NT 20 32K 1000 512 56 10 EPA 2 4 1 MB N-68 C, E High performance and highly integrated controller with
1 MB Address Range
80C196NU 40, 50 0 1000 NO 33, 32 4 EPA 1 0 1 MB S-100, C 1 MB Linear Address Range, 6 Chip Selects, 3 PWMs,
SB-100 Demux bus
80C196EA 40 0 1K 3K 83 17 EPA 3 16 2M S-160 C Highly integrated NU-core Controller with Serial Debug
Unit
Timer Counters = 2, except 83C196EA = 4; Once Test Mode = Yes; Process = CMOS
MCS
®296 PROCESSOR LINE CARD
ANALOG
SPEED ROM/ REGISTER CODE I/O I/O SERIAL INPUT ADDRESS
PRODUCT (MHz) OTPROM RAM RAM PINS TYPE PORTS CHANNELS SPACE PKG TEMP KEY FEATURES
MCS®296 Microprocessor Line card
80296SA 40, 50 0 512 2K 32 4 EPA 1 0 6M S-100 C 6 MB Linear Address Range, 6 Chip selects, 3 PWM,
40-bit Hardware Accumulator
Timer Counters = 2; Once Test Mode = Yes; Process = CMOS
82527 CAN STANDALONE LINE CARD
CAN I/O BIT MESSAGE GLOBAL PROGRAM CPU
PRODUCT VERSION PORTS RATE OBJECTS MASK CLOCKOUT INTERFACE PACKAGE TEMPERATURE
82527 2 Two 8-bit Ports Up to 1 Mbit 14 (one with programmable mask) Yes Yes 8-bit MUX, 16-Bit MUX 44ld PLCC E
8-bit Non-MUX (sync/async)
Packages: Temperature Ranges:
C = 48L Ceramic DIP R = 68L Ceramic LCC C = Commercial (0 to 70 degrees C)
N = 68L PLCC S = 80L QFP (EIAJ) E = Extended (-40 to 85 degrees C)
N-52 = 52L PLCC S-100 = 100L QFP A = Automotive (-40 to 125 degrees C)
N-68 = 68L PLCC SB-100 = 100L SQFP To receive more information on Intel’s Automotive Products,
N-84 = 84L PLCC U = 64L Shrink DIP call (800) 548-4725 and ask for document #272452-01,
P = 68L Plastic DIP “The Winning Formula Automotive Brochure.”
Microcontrollers developer.intel.com/design/mcs251
174
MCS
®
251 Microcontrollers
THE NEXT-GENERATION MICROCONTROLLERS
Using advanced modular design techniques, Intel launched the next generation of its 8-bit (80c51) architecture—
MCS®251 microcontroller. The MCS 251 microcontroller delivers significantly higher performance of minimum five
times simply by recompiling your existing MCS®51 code, and offers a host of other enhanced features including an increase
in memory mix and addressing, low power and noise, efficient high-level language support, an enhanced instruction set and
other integrated features.
Most importantly, the new architecture maintains binary code and pin compatibility with existing MCS 51 microcontrollers.
The MCS 251 microcontrollers will deliver even greater performance through its enhanced and optimized 251 instruction set
with no hardware changes to your existing MCS 51 microcontroller application.
FEATURES 8XC251SA/SB/SP/SQ 8XC251TB/TQ BENEFITS
New core architecture Up to 15X performance increase using new
MCS 251 microcontroller instructions
Significantly reduce RFI
Increase efficiency and support of C language programming
Binary code and pin compatible Hardware investment protected
with MCS 51 microcontroller Reduce development time with backward-compatible MCS®51
microcontroller instruction set
8 Kbytes/16 Kbytes on-chip Flexibility in using different memory options in development and
ROM/OTPROM or ROMless version production
Programmable Counter Array (PCA) supports Flexibility and performance enhancement in real-time control applications
High-speed output such as:
Real-time capture and compare - Measurement of duty cycle, phase difference and frequency
PWM - Real-time interrupt generation and output toggling
- Adjustable duty cycle generation
Hardware watchdog timer Increased system reliability
Page mode configuration Increases the performance for external instruction fetch by 2X
Programmable wait-states (0–3) Flexibility in external memory and peripheral interface configuration and
external wait-pin capability Allows the use of either fast or slow memory
Support seven interrupt sources, Increased flexibility for event control applications
each with four interrupt priority levels
256 Kbytes external memory space Increased capability and flexibility to handle large software requirements
512/1 Kbyte on-chip RAM Increased internal memory capacity for data manipulation and
C language support
developer.intel.com/design/mcs251 Microcontrollers
175
MCS®251 Microcontrollers
Intel®8XC251SA/SB/SP/SQ and Intel®8XC251TB/TQ microcontrollers provide the performance upgrade path to existing
MCS 51 microcontroller with the New Instruction Pipeline, 16-bit internal code fetch and page mode capability giving a
performance edge over other microcontrollers. It also has an interface provision for slower external peripherals through its
unique configurable wait-states and external wait-pin capability. This significantly reduces RFI design consideration. It also
has the ability to execute C code efficiently and coupled with larger RAM size options to enable complex applications thus
shortening applications design and qualification time.
The Intel 8XC251TB/TQ is based on the MCS 251 microcontroller architecture and has ALL of the Intel
8XC251SA/SB/SP/SQ peripheral features plus a second programmable serial I/O port (UART). In addition, the Intel
8XC251TB/TQ microcontroller can perform up to 24 MHz frequency and maintains pin and code compatibility with
MCS 51/151/251 microcontrollers. Similar with the Intel 8XC251SA/SB/SP/SQ, the Intel 8XC251TB/TQ has 512 bytes
or 1 Kbyte of on-chip data RAM options, 8 Kbytes and 16 Kbytes of on-chip ROM or ROMless options, and is available
in 44LD PLCC and 40LD PDIP package options.
The Intel 8XC251TB/TQ and Intel 8XC251SA/SB/SP/SQ microcontrollers are an ideal performance upgrade path for all
existing MCS 51 microcontroller applications. The high-performance architecture and the advanced features available in the
Intel 8XC251TB/TQ and Intel 8XC251SA/SB/SP/SQ also make them ideally suited for applications requiring complex data
manipulation functions and real-time control capability such as printers, copiers, scanners, CD-ROM drives, tape drives, POS
terminals, modems, digital phones, cellular/wireless handsets and line cards.
Development Tools and Programming Tools
Intel offers design engineers a variety of hardware and software development tools from some of the industry’s leading
tools suppliers. High performance, Windows*-based software, full featured, real-time emulators along with flexible, fully
integrated device programming support is available from many of the familiar suppliers currently supporting the MCS 51
microcontroller architecture.
High-performance Windows-based development tools are also available from BSO/Tasking, Franklin/Keil and Production
Languages Corporation (PLC). These high-quality tools include ANSI C Compilers, Macro Assemblers, Source Level
Debuggers, Object Librarians, Linker/Locators and Instruction Simulators. Full-featured, real-time emulators featuring
DOS* or Windows-based user interface and a variety of host hardware interfaces are offered by Metalink Corporation*
and Nohau Corporation. Flexible, fully integrated device programming support for advanced designs is available from
BP Microsystems*, Data I/O*, Needham*, SMS Mikrocomputer-Systeme GmbH*, and System General Corporation*.
Microcontrollers developer.intel.com/design/mcs251
176
MCS
®251 MICROCONTROLLER LINE CARD
ROM/ REGISTER ANALOG
EPROM RAM TIMER/ SERIAL INPUT I/O SPEED
PRODUCT (BYTES) (BYTES) COUNTERS PORT CHANNELS PINS (MHz) PROCESS PACKAGE SECURITY TEMP KEY FEATURES
87C251SA 8K 1K 3 1 0 32 16 CHMOS P, N, TN, TP L3 C, E High-Performance MCS®251
Architecture, PCA, H/W WDT
87C251SB 16K 1K 3 1 0 32 16 CHMOS P, N, TN, TP L3 C, E High-Performance MCS 251
Architecture, PCA, H/W WDT
87C251SP 8K 512K 3 1 0 32 16 CHMOS P, N, TN, TP L3 C, E High-Performance MCS 251
Architecture, PCA, H/W WDT
87C251SQ 16K 512K 3 1 0 32 16 CHMOS P, N, TN, TP L3 C, E High-Performance MCS 251
Architecture, PCA, H/W WDT
83C251SA 8K 1K 3 1 0 32 16 CHMOS P, N, TN, TP L3 C, E High-Performance MCS 251
Architecture, PCA, H/W WDT
87C251SA, ROM
83C251SB 16K 1K 3 1 0 32 16 CHMOS P, N, TN, TP L3 C, E High-Performance MCS 251
Architecture, PCA, H/W WDT
87C251SB, ROM
83C251SP 8K 512K 3 1 0 32 16 CHMOS P, N, TN, TP L3 C, E High-Performance MCS 251
Architecture, PCA, H/W WDT
87C251SP, ROM
83C251SQ 16K 512K 3 1 0 32 16 CHMOS P, N, TN, TP L3 C, E High-Performance MCS 251
Architecture, PCA, H/W WDT
87C251SQ, ROM
80C251SB ROMless 1K 3 1 0 32 16 CHMOS P, N, TN, TP L3 C, E High-Performance MCS 251
Architecture, PCA, H/W WDT
80C251SQ ROMless 512K 3 1 0 32 16 CHMOS P, N, TN, TP L3 C, E High-Performance MCS 251
Architecture, PCA, H/W WDT
83C251TB 16K 1K 3 2 0 32 24 CHMOS P, N, TN, TP L3 C, E High-Performance MCS 251
Architecture, PCA, H/W WDT
83C251TQ 16K 512K 3 2 0 32 24 CHMOS P, N, TN, TP L3 C, E High-Performance MCS 251
Architecture, PCA, H/W WDT
80C251TB ROMless 1K 3 2 0 32 24 CHMOS P, N, TN, TP L3 C, E High-Performance MCS 251
Architecture, PCA, H/W WDT
80C251TQ ROMless 512K 3 2 0 32 24 CHMOS P, N, TN, TP L3 C, E High-Performance MCS 251
Architecture, PCA, H/W WDT
PACKAGE OPTIONS:
D= 40LD CerDIP, Ku = 100LD QFP (Quad Flat Pack), N= 44LD PLCC, N1 = 68LD PLCC, P= 40LD PDIP, P1 = 48LD PDIP, S= 44LD QFP (Quad Flat Pack), Sb = 100LD SQFP (Shrink Quad Flat Pack),
X= SmartDie®: Product, P= 40 Lead Plastic Dual Inline Package at commercial temp, N= 44 Lead Plastic Chip Carrier at commercial temp, TN = 44 Lead Plastic Chip Carrier at express temp,
TP = 40 Lead Plastic Dual Inline Package at express temp
TEMPERATURE RANGES:
C= Commercial (0°C to +70°C), E= Extended (-40°C to +85°C), A= Automotive (-40°C to +125°C). To receive more information on Intel’s Automotive Products, call (800) 548-4725 and ask for document #272452-01,
“The Winning Formula Automotive Brochure.” Speed (MHz): * = commercial temperature range only.
Security: L1 = 1 Lock Bit, L2 = 2 Lock Bits, L3 = 3 Lock Bits, P = Protection
NOMENCLATURE:
83C51xx = Mask ROM, 80C5x = Mask ROM, 87C51xx,ROM = FPROM, 87C5x,ROM = FPROM, 87Cx51,ROM = Factory Program ROM
Where available, order Factory Programmed ROM (FPROM)
Additional product information is always available at http://developer.intel.com/design/mcs51/
developer.intel.com/design/mcs51 Microcontrollers
177
THE ORIGINAL 8-BIT MICROCONTROLLERS
Intel’s 8-bit MCS®51 microcontroller family consists of CHMOS versions of the original NMOS 8-bit microcontrollers.
The MCS 51 microcontroller architecture is optimized for control-oriented applications and provides a variety of fast
addressing modes for accessing the internal RAM facilitates byte processing and numerical operations on small data
structures. The instruction set provides an extremely useful spread of 8-bit arithmetic instructions, including multiply and
divide instructions, logical instructions as well as extensive on-chip support for 1-bit variables as a separate data type,
allowing direct bit manipulation and testing in control and logic systems that require Boolean processing.
Intel offers a wide variety of MCS 51 controllers with different levels of on-chip peripherals and memory. The MCS 51
microcontroller family includes versions with on-chip EPROM, One-Time Programmable (OTP) and ROM memory, as well
as CPU-only microcontrollers. Intel’s proven CHMOS technology provides lower power, higher integration and higher
performance for the MCS 51 product line.
FEATURES COMMON TO ALL MCS®51 PRODUCTS BENEFITS
8-bit CPU optimized for event control Efficient. Event control design
Boolean processing Ease. Simple bit manipulation
Flexibility. Enables single-chip designs
On-chip peripherals (timer/counters, serial ports, I/O ports, PCA, etc.) Integration. High integration enables low-cost and low-chip-count designs
Extensive software and hardware programming support Easy to use. Simplifies your design cycle
MCS®51 Microcontroller Family—Classic
Intel’s 8-bit MCS 51 Classic family is a leading choice for embedded control. Intel offers a wide variety of on-chip memory
in both EPROM and ROM options, as well as CPU-only microcontrollers. Intel’s proven CHMOS technology provides
lower power, higher integration and higher performance.
FEATURES FOUND ON MCS®51 CLASSIC PRODUCTS BENEFITS
8-bit CPU optimized for event control Efficient. Event control design
Boolean processing Ease. Simple bit manipulation
On-chip memory (up to 32K) Solutions. Enables single-chip designs
On-chip peripherals (timer/counters, serial ports, PCA, etc.) High integration. Enables low-cost and I/O ports, low-chip-count designs
MCS®51 Microcontrollers—Expanded RAM
The 8XC51RA/RB/RC provides additional on-chip RAM from 256 to 512 bytes, making these products ideal if your
application requires large on-chip data storage. The Expanded RAM family is peripheral-compatible with the 8XC51FX
product line.
FEATURES FOUND ON MCS®51 EXPANDED RAM PRODUCTS BENEFITS
Expanded internal RAM (512 byte) size Synergy. More working space on chip, breaks the 256-byte limitation
Dedicated hardware watchdog timer Control. Improved system integrity control
Functionally compatible with other MCS®51 microcontrollers Compatibility. Easy migration of existing designs
On-chip peripherals (timer/counters, serial ports, I/O ports, etc.) High integration. Enables low-cost and low-chip-count designs
MCS
®
51 Microcontrollers
Microcontrollers developer.intel.com/design/mcs51
178
MCS®51 Microcontroller Development Tools
Complete sets of development utilities and C compilers are available through third-party vendors. Many third-party
vendors also provide in-circuit emulator development tools. For more information about development tools support,
log on to http://developer.intel.com/design/mcs51, or contact your Intel sales representative.
MCS
®51 CLASSIC FAMILY MICROCONTROLLER LINE CARD
ROM/ REGISTER ANALOG
EPROM RAM TIMER/ SERIAL INPUT I/O SPEED
PRODUCT (BYTES) (BYTES) COUNTERS PORT CHANNELS PINS (MHz) PROCESS PACKAGE SECURITY TEMP KEY FEATURES
80C31BH ROMless 128 2 1 0 32 16, 24 CHMOS D, N, P, S N/A C, E Power Save Modes
80C51BH 4K ROM 128 2 1 0 32 12, 16, 24 CHMOS N, P, S P C, E Power Save Modes
87C51 4K EPROM/OTP 128 2 1 0 32 16, 24 CHMOS D, N, P, S L3 C, E Three-Level Memory Lock
80C32 ROMless 256 3 1 0 32 16, 24 CHMOS N, P, S N/A C, E Up-Down Timer/Counter
80C52 8K ROM 256 3 1 0 32 12, 16, 24 CHMOS N, P, S L1 C, E Up-Down Timer/Counter
87C52 8K EPROM/OTP 256 3 1 0 32 16, 24 CHMOS D, N, P, S L3 C, E Up-Down Timer/Counter
87C58, ROM 32K ROM 256 3 1 0 32 12, 16, 24, 33 CHMOS N, P, S L1 C, E Up-Down Timer/Counter
87C58 32K EPROM/OTP 256 3 1 0 32 16, 24, 33 CHMOS D, N, P, S L3 C, E Up-Down Timer/Counter
80C51FA ROMless 256 3 1 0 32 16, 24, 33 CHMOS D, N, P, S N/A C, E Programmable Counter Array (PCA),
Prog. Clock Out
83C51FA 8K ROM 256 3 1 0 32 12, 16, 24 CHMOS N, P, S L1 C, E, A Programmable Counter Array (PCA),
Prog. Clock Out
87C51FA 8K EPROM/OTP 256 3 1 0 32 16, 24, 33 CHMOS D, N, P, S L3 C, E, A Programmable Counter Array (PCA),
Prog. Clock Out
87C51FB, ROM 16K ROM 256 3 1 0 32 12, 16, 24, 33 CHMOS N, P, S L1 C, E, A Programmable Counter Array (PCA),
Prog. Clock Out
87C51FB 16K EPROM/OTP 256 3 1 0 32 16, 24, 33 CHMOS D, N, P, S L3 C, E, A Programmable Counter Array (PCA)
Prog. Clock Out
87C51FC, ROM 32K ROM 256 3 1 0 32 12,16, 24, 33 CHMOS D, N, P, S L1 C, E, A Programmable Counter Array (PCA),
Prog. Clock Out
87C51FC 32K EPROM/OTP 256 3 1 0 32 16, 24, 33 CHMOS D, N, P, S L3 C, E, A Programmable Counter Array (PCA),
Prog. Clock Out
MCS
®51 EXPANDED RAM FAMILY LINE CARD
ROM/ REGISTER ANALOG
EPROM RAM TIMER/ SERIAL INPUT I/O SPEED
PRODUCT (BYTES) (BYTES) COUNTERS PORT CHANNELS PINS (MHz) PROCESS PACKAGE SECURITY TEMP KEY FEATURES
80C51RA ROMless 512 3 1 0 32 16, 24 CHMOS N, P, S N/A C, E Expanded RAM, Prog. Clock out, H/W WDT
87C51RA, ROM
87C51RA 8K OTP 512 3 1 0 32 16, 24 CHMOS N, P, S L3 C, E Expanded RAM, Prog. Clock out, H/W WDT
87C51RB, ROM
87C51RB 16K OTP 512 3 1 0 32 16, 24 CHMOS N, P, S L3 C, E Expanded RAM, Prog. Clock out, H/W WDT
87C51RC, ROM
87C51RC 32K OTP 512 3 1 0 32 16, 24 CHMOS N, P, S L3 C, E Expanded RAM, Prog. Clock out, H/W WDT
PACKAGE OPTIONS:
D= 40LD CerDIP, Ku = 100LD QFP (Quad Flat Pack), N= 44LD PLCC, N1 = 68LD PLCC, P= 40LD PDIP, P1 = 48LD PDIP, S= 44LD QFP (Quad Flat Pack), Sb = 100LD SQFP (Shrink Quad Flat Pack),
X= SmartDie®: Product, P= 40 Lead Plastic Dual Inline Package at commercial temp, N= 44 Lead Plastic Chip Carrier at commercial temp, TN = 44 Lead Plastic Chip Carrier at express temp,
TP = 40 Lead Plastic Dual Inline Package at express temp
TEMPERATURE RANGES:
C= Commercial (0°C to +70°C), E= Extended (-40°C to +85°C), A= Automotive (-40°C to +125°C). To receive more information on Intel’s Automotive Products, call (800) 548-4725 and ask for document #272452-01,
“The Winning Formula Automotive Brochure.” Speed (MHz): * = commercial temperature range only.
Security: L1 = 1 Lock Bit, L2 = 2 Lock Bits, L3 = 3 Lock Bits, P= Protection
NOMENCLATURE:
83C51xx = Mask ROM, 80C5x = Mask ROM, 87C51xx,ROM = FPROM, 87C5x,ROM = FPROM, 87Cx51,ROM = Factory Program ROM
Where available, order Factory Programmed ROM (FPROM)
Additional product information is always available at http://developer.intel.com/design/mcs51/
developer.intel.com/design/interconnect/ Microcontrollers
179
PRODUCT OVERVIEW
The Intel®Interconnect Devices provide a combination of flexible integration options, functionality and reliability that
helps ensure optimum system-level performance and customer satisfaction.
Intel®PC Card (PCMCIA) controllers provide a flexible, compact, and reliable solution for software transport,
memory upgrade and peripheral connectivity in communications devices and embedded systems.
Serial Controllers are I/O data communication devices for network applications.
Parallel Controllers are IEEE 1284-compatible parallel I/O data communication products for peripheral applications.
WAN Controllers enable leased line, dial-up and packet-based WAN connections for a broad range of communications
applications.
PRODUCTS CONTROLLER CATEGORY OPERATING TEMPERATURE PACKAGE EVALUATION KIT DESCRIPTION
DZPD6710VCB PC Card/PCMCIA Commercial (0°C to +70°C) LQFP 144 pin PDK6710ADM12 ISA to PC Card Single-slot
SPD6722QCCE PC Card/PCMCIA Commercial (0°C to +70°C) MQFP 208 pin PDK6722ADM16 ISA to PC Card Dual-slot
SPD6729QCE PC Card/PCMCIA Commercial (0°C to +70°C) MQFP 208 pin PDK6729ADM14 PCI to PC Card Dual-slot
SCD140010QCJ Serial Commercial (0°C to +70°C) MQFP 100 pin CDK1400JAT01A 4 async serial channels at 230.4 Kbps or 3 serial ports and 1 parallel port
SCD186510QCB Serial Commercial (0°C to +70°C) MQFP 100 pin CDK1865BAT01A 8 async serial channels at 115.2 Kbps
SCD128310QCE Parallel Commercial (0°C to +70°C) MQFP 100 pin CDK1284EAT02A IEEE 1284
SCD128410QCE Parallel Commercial (0°C to +70°C) MQFP 100 pin CDK1284EAT02A IEEE 1284, 2 serial channels at 115.2 Kbps
SCD240110QCM WAN Commercial (0°C to +70°C) MQFP 100 pin CDK2401MAT03B 4 async/sync serial channels at 134.4 Kbps
SCD248110QCD WAN Commercial (0°C to +70°C) MQFP 100 pin CDK2481DAT03B 4 async/sync serial channels at 230.4 Kbps
PC Card (PCMCIA) Applications
Routers, bridges, network switches
Printers
Test equipment
Portable handheld systems
Remote access servers
Terminal servers
POS terminals
Video conferencing using Zoom Video
DSLAMs (digital subscriber line access multiplexers)
Vending machines
Integrated access devices
PBXs
Set-top, Internet boxes
Navigation systems
Serial Controller Applications
General-purpose, multi-channel serial communications
Remote access servers
Terminal servers
POS terminals
Multiport async cards
Interconnect Devices
Microcontrollers developer.intel.com/design/interconnect/
180
Parallel Controller Applications
Printers
Scanners
Copiers
Backup systems
Industrial control systems
Multi-function devices
Set-top boxes with printer port
WAN Controller Applications
Branch office routers
Remote access servers
Terminal servers
Protocol converters
developer.intel.com/platforms/applied/software/devsoft.htm Software
181
SOFTWARE FOR EID PRODUCTS
Embedded Intel®Architecture (EIA) system software support is a key platform building block. Software building blocks
from Intel such as the Intel®Embedded Computing Firmware Library eases development of EIA designs for faster time-
to-market. Enabling software such as device drivers help deliver maximum performance for the advanced features offered
on EIA silicon.
Intel®Embedded Computing Firmware Library
The high rate of technology evolution makes it critical to get to market quickly with innovative, high-performance,
connected devices. These sophisticated systems must also meet increasingly demanding application requirements and
tighter budget controls. Developers regularly face challenges that threaten their ability to meet schedule, feature, and cost
goals. One large challenge is in the area of system initialization. Many applied computing platforms don’t require the full
set of features provided in a standard PC BIOS. The problem is that developing initialization code is difficult and time-
consuming. Intel Embedded Computing Firmware Library can help.
Intel Embedded Computing Firmware Library provides a set of libraries and tools that perform core level initialization
of the processor, chipset and memory. Intel Embedded Computing Firmware Library lets developers implement only the
components they need, resulting in faster boot times and a smaller memory footprint. In addition, Intel Embedded Computing
Firmware Library can significantly reduce the time and cost associated with developing and validating system initialization
modules.
Currently, Intel Embedded Computing Firmware Library provides support for component-level initialization of the
Intel®Pentium®III, Intel®Pentium®II and Intel®Celeron®processors, SDRAM, the Intel®440BX AGPset, Intel®440MX
chipset, Intel®815 and Intel®815E chipsets and the Intel®E7500 chipset. It presents a simple, clean, 32-bit API consistent
with common embedded programming practices regardless which Intel®processor or chipset is being utilized. Figure 1
shows the Intel Embedded Computing Firmware Library version 2 architecture. The API provides an abstraction of the
processor and chipset so that if these components change, the developer is no longer required to update any of the
“additional initialization code” that may have been developed. Instead, the developer downloads only the version
of Intel Embedded Computing Firmware Library supporting the Intel processor and chipset selected for the new design.
Software
Legacy
Real Mode
PCI
ATA
GDB
Others…
C-Lib
Subset
Flash
Manager
Console
Reset and
Mode Switch
Basic
Initialization
Script
Advanced
Initialization
Script
Shell,
Application,
Loader, RTOS
BSP or other
customer FW
Processor Chipset Super I/O Flash
Library
Boot Sequence
API and
Services
Drivers
Binary Source
Figure 1: Intel®Embedded Computing Firmware Library Block Diagram
Software developer.intel.com/platforms/applied/software/devsoft.htm
182
Intel Embedded Computing Firmware Library has the following features:
Memory and memory controller initialization
Processor cache initialization, configuration and control
Initialization of multi-processor system including Hyper-Threading processors
Installation of processor updates
Querying of hardware configuration (e.g., installed memory, cache size, etc.)
PCI enumeration and resource allocation
Support for PCI expansion ROMs enabling video initialization, PXE boot, SCSI boot, etc.
IDE initialization and I/O
Subset of C library
Support for common OS boot loaders
GNU debugger (GDB) stub with serial interface which enables early dynamic system test execution, remote debugging
of system boot & hardware
Basic initialization of most components on selected super I/O chips
Easily extensible subset of real-mode interrupts (i.e., BIOS services)
System management mode support
Interrupt handling
Local and remote consoles with user-extensible, command line shell
Update boot flash via serial port using YMODEM
Enabling Software
Enabling software consists of software and tools used to realize maximum performance from Embedded Intel Architecture
(EIA) processors and of software, typically referred to as device drivers, used to deliver maximum performance from the
features provided by the EIA chipsets.
developer.intel.com/platforms/applied/software/devsoft.htm Software
183
Processor Enabling Software
Processor enabling software consists of software tools and utilities used to optimize the application software and operating
systems which are targeted to run on a platform with an EIA processor. The following steps may be taken in order to
fully optimize any software product for EIA processors:
1. Incorporate Intel®Performance Libraries in the software source code to take advantage of the special processor features.
See http://developer.intel.com/software/products/perflib/ for additional details.
2. Use Intel®Compilers (now with embedded extentions) to compile the software source code to take advantage of special
processor instruction sets, such as SSE2. See http://developer.intel.com/software/products/compilers/ for additional
details.
3. Use Intel®VTunePerformance Analyzers to optimize the software source code flow such that the resultant compiled
binary performs significantly better. See http://developer.intel.com/software/products/vtune/ for additional details.
4. Use Intel’s Threading Tools to add parallelism to software if it is targeted for a Multi-Processing system or for a
processor which supports Hyper-Threading Technology. See http://developer.intel.com/software/products/threadtool.htm
for additional details.
These steps apply to any software designated for a platform with an EIA processor, including operating systems. For
example, the Intel Compilers may be used to compile the Linux* operating system kernel in place of the standard Gnu C
Compiler for improved performance.
Table 1 identifies the operating systems that have specific processor feature support available.
TABLE 1 PROCESSOR FEATURES SUPPORTED PER OPERATING SYSTEM
Chipset Enabling Software (Device Drivers)
The device drivers for the EIA chipsets and components improve performance and expose features, such as USB 2.0,
ATA/100, or Gigabit LAN. Typically each operating system vendor will provide, with the distribution of their operating
system, a set of “native” device drivers which support many of the standard features available on the EIA chipsets which were
common at the time of the OS release. For example, Microsoft Windows* 2000 provides native drivers for the integrated
graphics available on the Intel 815 Chipset, while Microsoft Windows NT* 4.0 will use the default native VGA drivers. In
addition, Intel offers the Intel®Embedded Graphics Driver for the Intel®815/815E, 845GV, 852GME, and 855GME Chipsets
which may be installed on either Microsoft Windows XP, eXP, 2000, NT 4.0, CE .NET, and Linux replacing the native
drivers and providing enhanced performance and features.
Microsoft Windows NT* 4.0
Microsoft Windows* 98SE
Microsoft Windows* 2000
Microsoft Windows* XP
Microsoft Windows NT* Embedded
Microsoft Windows* XP Embedded
Hyper-Threading Technology NNY
1Y1NY
1NNYY
2N
(Intel® Pentium® 4 Processor feature)
Physical Address Extension NNY
3Y3NY
3NNY
4NN
5
(36-bit addressing)
Microsoft Windows* CE 3.0
Microsoft Windows* CE .NET
Linux* 2.4.19
Windriver* VxWorks 5.x
QNX* Neutrino v6
Major Processor Features
1See http://www.microsoft.com/windows2000/server/evaluation/performance/reports/hyperthread.asp for more information
2Hyper-Threading Technology supported as loosely coupled asynchronous multiprocessing design.
3See http://www.microsoft.com/hwdev/platform/server/PAE/default.asp for more information
4See http://www.intel.com/idf/us/fall2002/presentations/DES124PS.pdf for more information
5Neutrino v6.2.1 provides support for PAE with an explicit model – apps/drivers can access >4G but kernel does not manage
this space
Software developer.intel.com/platforms/applied/software/devsoft.htm
184
In some cases driver updates are available from the operating system vendor while in other cases updates are only available
from Intel. This section is intended to clarify what device drivers are available for the chipsets which are on EIA Division’s
roadmap and where updates may be found. In addition, some references are included for Intel®Network Adapters. Due to the
large variety of operating systems used in embedded markets, only a limited listing is provided.
The paragraphs below describe where the drivers for each operating system are typically found; after that there are tables
which describe the drivers available for each supported EIA Division chipset.
Microsoft* Operating Systems
The Microsoft operating systems typically have native device driver support for all Intel®chipsets and components up to
the time that the operating systems are released. In a few cases, Microsoft will provide Service Packs or may offer
Microsoft Windows Updates which include updated drivers that have support for newer features. For example, Windows
2000 was released before USB 2.0 products were widely available. Microsoft has since provided a Service Pack for
Windows 2000 that will include drivers for USB 2.0 support. On the other hand, Windows NT 4.0 was released prior to
USB 1.1 product availability. Microsoft does not currently, and will not ever, provide any Service Packs that provide
USB 1.1 support for Windows NT 4.0.
The embedded versions of the Microsoft operating systems, such as Microsoft Windows XP embedded and Microsoft
Windows NT embedded, will typically use the same device driver binary as the standard desktop version of the operating
system. The primary difference is the method of installation of the driver binary; with a desktop operating system, an
install method, such as Plug and Play, is normally used to find and install on initial boot the appropriate drivers for the
hardware features that are present on the platform, while for the embedded operating systems, the OEM customer will use
a development environment to include the device driver binary as part of an operating system image built prior to initial boot
of the target platform. Because of this, different support files are required for the embedded operating system development
environment. However, out of the box, the same level of device driver support will be available from Microsoft for both the
desktop version and the embedded version of the operating system. For example, Windows NT 4.0 does not have support for
USB 1.1, and Windows NT embedded also does not have support for USB 1.1.
One distinct exception to the native Intel chipset device driver support under the Microsoft operating systems is the
Microsoft Windows CE operating system. There are very few native device drivers available for specific EIA components,
and only the most rudimentary support is available for generic services, such as IDE and USB.
TABLE 2 MICROSOFT* OPERATING SYSTEM DEVICE DRIVER RESOURCES
ITEM DESCRIPTION LOCATION APPLICABLE MICROSOFT OS*
Microsoft* Updates Microsoft supplied updates, such as Service Packs and patches, http://windowsupdate.microsoft.com Desktop Only6
to operating system which may include updated or new native device drivers
Intel®Network Updates and overwrites the Microsoft-supplied native device drivers for http://support.intel.com/support/network/index.htm All
Adapter Drivers Intel Network Adapters with newer and more advanced features.
Includes Intel®PRO/100, Intel®PRO/1000, and Intel®PRO/Wireless adapters.
Intel®Chipset Installs chipset INF file which describes to the operating system the capabilities http://support.intel.com/support/chipsets/ Desktop only7
Software Installation Utility of the chipset such that the correct native drivers are loaded.
Intel®Application Performance software package for Intel®desktop PCs which provides improved IDE http://support.intel.com/support/chipsets/ All except Windows* CE8
Accelerator hard drive support for Windows* operating systems, including 48-bit LBA (137+ GB)
drive support.
Intel®810, Intel®815, Updates and overwrites the Microsoft-supplied native device drivers, if present, http://support.intel.com/support/graphics/intel815/ Desktop only9
and Intel®845GV for the internal graphics of these chipsets. and http://support.intel.com/support/graphics/intel810/
chipsets graphics drivers and http://support.intel.com/support/graphics/intel845g/
Intel®InfiniBand* Information and tools for developing device drivers for the Intel InfiniBand http://www.intel.com/technology/infiniband/index.htm All
Architecture resource Architecture.
USB 1.1 drivers Drivers which add generic USB support for the operating system http://www.bsquare.com/products/devtools/usbwin40/ Windows NT* 4.0 and Windows* NT
Embedded
USB 2.0 drivers Drivers which add USB 2.0 support for Windows 2000 and Windows XP only http://www.microsoft.com/WindowsXP/pro/downloads/ Windows 2000, Windows XP,
servicepacks/sp1/default.asp or and Windows XP Embedded
http://www.microsoft.com/windows2000/downloads/
servicepacks/sp3/default.asp
6For the embedded operating systems, a new package must be obtained from Microsoft or a Microsoft partner.
7For the embedded operating systems, the Intel®Chipset Software Installation Utility is not used because the developer of the embedded image may choose the specific drivers the operating system will use when developing
the OS image.
8IAA may be installed normally after the Embedded XP or Embedded NT image is created; there are no Embedded components for either of these operating systems.
9For Microsoft* Windows CE 3.0 and Windows CE .NET, device drivers can be found on FDBL, under Applied Computing -> Products -> Chipsets -> [choose chipset] -> Software.
developer.intel.com/platforms/applied/software/devsoft.htm Software
185
Linux* Operating System
The Linux operating system is available in source code form free of charge from http://www.kernel.org. The current kernel
distribution includes complete support for the majority of the EIA chipsets, with additional support for newer chipsets
being added with each new release. Many of the basic device drivers are typically provided with the kernel, and necessary
modifications can be made through a source code patch; audio drivers and X server drivers are drivers which are available
separately.
Linux vendors, such as Red Hat*, Agilent*, MontaVista*, and LynuxWorks*, will adopt the standard Linux kernel
distribution, make their own modifications, and then redistribute through their own channels. Therefore, their redistribution
will have the same EIA devices supported as the Linux kernel they have adopted.
The EIA Division works with the Linux kernel development team to incorporate the support for new features and
functionality of EIA chipsets into new kernel distributions when possible; if additional features and functionality is required,
Intel FAE/FSE should forward request to the EID Driver Software contact.
TABLE 3 LINUX* OPERATING SYSTEM DEVICE DRIVER RESOURCES
ITEM DESCRIPTION LOCATION
Official Linux* kernel distribution Complete source for the Linux operating system which supports a large number of EIA devices http://www.kernel.org/
Intel®Network Adapter Drivers Intel®-supplied network drivers for the Linux operating system. Note that the kernel distribution http://support.intel.com/support/network/index.htm
does already have Intel network driver support; these drivers are an alternative. Includes
Intel®PRO/100, Intel®PRO/1000, and Intel®PRO/Wireless adapters.
Xfree86 Most popular open-source X Window System which runs on Linux; support provided for EIA http://www.xfree86.org/
integrated graphics chipsets.
Audio driver project Project to provide extensive audio support for the Linux operating system, including support for the http://www.alsa-project.org
EIA chipsets which have integrated AC’97 controllers.
USB Project Effort to enhance USB support for the Linux kernel; USB 2.0 support has been rolled into the standard http://www.linux-usb.org/
Linux kernel distribution 2.4.19 and later
Gnu C Compiler (GCC) 3.1 Updated compiler provided with Linux kernel distribution; this version provides some Intel®http://gcc.gnu.org
Pentium®4 processor optimizations.
Intel®InfiniBand* Architecture resource Information and tools for developing device drivers for the Intel InfiniBand Architecture http://www.intel.com/technology/infiniband/index.htm
Other Real Time Operating Systems (RTOSs)
Other operating systems that are typically used in embedded applications are WindRiver* VxWorks and QNX* Neutrino.
For Intel®devices, these vendors will develop and support the device drivers on their own.
Other Embedded Operating Systems
There are a large number of other operating systems that are used in embedded applications. The EIA Division does not
typically provide driver support for any of these other operating systems. Two of the most popular operating systems
which support EIA chipsets include WindRiver VxWorks and QNX Neutrino. For Intel devices, these vendors will develop
and support the device drivers individually.
TABLE 4 OTHER EMBEDDED OPERATING SYSTEM DEVICE DRIVER RESOURCES
ITEM DESCRIPTION LOCATION
WindRiver* VxWorks v5.x Most widely adopted real-time operating system in the embedded industry, according to WindRiver. http://www.windriver.com/products/html/vxwks5x.html
QNX* Neutrino v6 The QNX RTOS provides a powerful, massively scalable, reliable foundation for embedded systems, http://www.qnx.com/products/os/rtos6.html
according to QNX.
Intel®Network Adapter Drivers Intel provided distribution of network drivers for the Intel®82559ER Ethernet component which http://developer.intel.com/design/network/products/lan/controllers/
includes WindRiver-provided VxWorks drivers. 82559er.htm
Software developer.intel.com/platforms/applied/software/devsoft.htm
186
INTEL®EMBEDDED CHIPSET DEVICE DRIVER SUPPORT MATRIX
The following tables describe the device driver support provided for the associated Intel®Embedded Chipsets. The tables
are presented in the following order:
Table 5 Intel®E7500 and Intel®E7501 Chipset—ICH3 south bridge (RGEE7500PL/RGE7501MC + FW82801CA +
RG82870P2)
Table 6 Intel®845GV Chipset—ICH4 south bridge (RG82845GV + FW82801DB)
Table 7 Intel®845E Chipset—ICH4 south bridge (RG82845E + FW82801DB)
Table 8 Intel®845 Chipset—ICH2 south bridge (RG82845 + FW82801BA)
Table 9 Intel®815E and Intel®810E2 Chipsets—ICH2 south bridge (FW82815E/FW82810E + FW82801BA)
Table 10 Intel®840 Chipset—ICH south bridge (FW82840 + FW82801AA)
Table 11 Intel®815E and Intel®810E2 Chipsets—CICH south bridge (FW82815E/FW82810E + FW82801E)
(the CICH south bridge is not natively supported by any of the Microsoft operating systems. Check FDBL for the
Microsoft OS drivers)
Table 12 Intel®815 and Intel®810 Chipsets—ICH south bridge (FW82815/FW82810 + FW82801AA)
Table 13 Intel®440BX Chipset—PIIX4E south bridge (FW82443BX + FW82371EB)
Table 14 Intel®440MX Chipset—Integrated south bridge, PIIX4E compatible (FW82443MX100)
Table 15 Intel®430TX Chipset—PIIX4 south bridge (FW82439TX + FW82371EB)
Table 16 Intel®430HX Chipset—PIIX3 south bridge (FW82439HX + SB82371SB)
Table 17 Intel®Network Adapters
Each table provides a list of highlighted features for the chipset, and the support available for each OS. For the best
performance, it may be necessary to get the most recent drivers available from the sources provided in the operating system
sections above.
developer.intel.com/platforms/applied/software/devsoft.htm Software
187
TABLE 5 INTEL®E7500 AND INTEL®E7501 CHIPSET—ICH3 SOUTH
BRIDGE (RGEE7500PL/RGE7501MC + FW82801CA + RG82870P2)
TABLE 6 INTEL®845GV CHIPSET—ICH4 SOUTH BRIDGE (RG82845GV +
FW82801DB)
Microsoft Windows NT* 4.0
Microsoft Windows* 98SE
Microsoft Windows* 2000
Microsoft Windows* XP
Microsoft Windows NT* Embedded
Microsoft Windows* XP Embedded
Microsoft Windows* CE 3.0
Microsoft Windows* CE .NET
Linux* 2.4.19
Windriver* VxWorks 5.x
QNX* Neutrino v6
3x USB 1.1 N10 YYYN
10 Y1x1xYYY
3x USB 2.0 N N Y11 Y11 NY
11 NNYNN
2x IDE ATA/100 Y12 Y12 YY
13
ATA/66
Y14 PIO PIO Y Y Y
1x Integrated LAN Y Y Y Y Y Y Y Y Y N Y
AC’97 2.2 Y Y Y Y Y Y Y Y Y N Y
Integrated Graphics:
Resolution to 1600x1200, YYY YNNNNY
15 NN
color depth to 24bpp
Accelerated 2D features Y Y Y Y NNNNYNN
Accelerated 3D features Y Y Y Y NNNNNNN
Accelerated video features Y Y Y Y NNNNYNN
DVO encoder support
(includes TV-Out, TMDS, Y Y Y Y NNNNNNN
and LVDS devices)
Major Chipset Functions
Microsoft Windows NT* 4.0
Microsoft Windows* 98SE
Microsoft Windows* 2000
Microsoft Windows* XP
Microsoft Windows NT* Embedded
Microsoft Windows* XP Embedded
3x USB 1.1 N10 YYYN
10 Y1x1xY Y Y
3x USB 2.0 N N Y11 Y11 NY
11 NNYNN
2x IDE ATA/100 Y12 Y12 YYATA/66 Y PIO PIO Y Y Y
1x Integrated LAN Y Y Y Y Y Y YYYYY
AC’97 2.2 Y Y Y Y Y Y Y Y Y N Y
Microsoft Windows* CE 3.0
Microsoft Windows* CE .NET
Linux* 2.4.19
Windriver* VxWorks 5.x
QNX* Neutrino v6
Major Chipset Functions
10 USB 1.1 support available separately from third-party vendors
11 USB 2.0 support available in a Microsoft Windows Update release
12 ATA/100 and 48-bit LBA (137+ GB) drive support provided in Intel®Application Accelerator utility
13 48-bit LBA (137+ GB) drive support provided in Intel®Application Accelerator utility; also will be enabled as part of
Service Pack 1
14 48-bit LBA (137+ GB) drive support will be enabled as part of Service Pack 1
15 Advanced graphics features supported in XFree86 4.2
Software developer.intel.com/platforms/applied/software/devsoft.htm
188
TABLE 7 INTEL®845E CHIPSET—ICH4 SOUTH BRIDGE (RG82845E +
FW82801DB)
TABLE 8 INTEL®845 CHIPSET—ICH2 SOUTH BRIDGE (RG82845 +
FW82801BA)
Microsoft Windows NT* 4.0
Microsoft Windows* 98SE
Microsoft Windows* 2000
Microsoft Windows* XP
Microsoft Windows NT* Embedded
Microsoft Windows* XP Embedded
3x USB 1.1 N10 YYYN
10 Y1x1xYYY
3x USB 2.0 N N Y11 Y11 NY
11 NNYNN
2x IDE ATA/100 Y12 Y12 YY
13 ATA/66 Y14 PIO PIO Y Y Y
1x Integrated LAN Y Y Y Y Y Y Y Y Y N Y
AC’97 2.2 Y Y Y Y Y Y Y Y Y N Y
Microsoft Windows* CE 3.0
Microsoft Windows* CE .NET
Linux* 2.4.19
Windriver* VxWorks 5.x
QNX* Neutrino v6
Major Chipset Functions
Microsoft Windows NT* 4.0
Microsoft Windows* 98SE
Microsoft Windows* 2000
Microsoft Windows* XP
Microsoft Windows NT* Embedded
Microsoft Windows* XP Embedded
3x USB 1.1 N10 YYYN
10 Y1x1xYYY
2x IDE ATA/100 Y12 Y12 YYATA/66 Y PIO PIO Y Y Y
1x Integrated LAN Y Y Y Y Y Y Y Y Y N Y
AC’97 2.1 Y Y Y Y Y Y Y Y Y N Y
Microsoft Windows* CE 3.0
Microsoft Windows* CE .NET
Linux* 2.4.19
Windriver* VxWorks 5.x
QNX* Neutrino v6
Major Chipset Functions
10 USB 1.1 support available separately from third-party vendors
11 USB 2.0 support available in a Microsoft Windows Update release
12 ATA/100 and 48-bit LBA (137+ GB) drive support provided in Intel®Application Accelerator utility
13 48-bit LBA (137+ GB) drive support provided in Intel®Application Accelerator utility; also will be enabled as part of
Service Pack 1
14 48-bit LBA (137+ GB) drive support will be enabled as part of Service Pack 1
developer.intel.com/platforms/applied/software/devsoft.htm Software
189
TABLE 9 INTEL®815E AND INTEL®810E2 CHIPSETS—ICH2 SOUTH
BRIDGE (FW82815E/FW82810E + FW82801BA)
TABLE 10 INTEL®840 CHIPSET—ICH SOUTH BRIDGE (FW82840 +
FW82801AA)
Microsoft Windows NT* 4.0
Microsoft Windows* 98SE
Microsoft Windows* 2000
Microsoft Windows* XP
Microsoft Windows NT* Embedded
Microsoft Windows* XP Embedded
2x USB 1.1 N10 YYYN
10 Y1x1xY Y Y
2x IDE ATA/66 Y Y Y Y Y Y PIO PIO Y Y Y
AC’97 2.1 Y Y Y Y Y Y Y Y Y N Y
Microsoft Windows* CE 3.0
Microsoft Windows* CE .NET
Linux* 2.4.19
Windriver* VxWorks 5.x
QNX* Neutrino v6
Major Chipset Functions
Microsoft Windows NT* 4.0
Microsoft Windows* 98SE
Microsoft Windows* 2000
Microsoft Windows* XP
Microsoft Windows NT* Embedded
Microsoft Windows* XP Embedded
Microsoft Windows* CE 3.0
Microsoft Windows* CE .NET
Linux* 2.4.19
Windriver* VxWorks 5.x
QNX* Neutrino v6
2x USB 1.1 N10 YYYN
10 Y1x1xYYY
2x IDE ATA/100 Y12 Y12 YY
ATA/66
Y PIO PIO Y Y Y
1x Integrated LAN Y Y Y Y Y Y Y Y Y Y Y
AC’97 2.1 Y Y Y Y Y Y Y Y Y N Y
Integrated Graphics:
Resolution to 1600x1200, YYYYYYYYY
15 Y16 Y17
color depth to 24bpp
Accelerated 2D features Y Y Y Y YYYYYNY
Accelerated 3D features Y Y Y Y YYYYNNN
Accelerated video features Y Y Y Y YYYYYNN
DVO encoder support
(includes TV-Out, TMDS, Y Y Y Y Y Y Y18 Y18 Y19 NN
and LVDS devices)
Major Chipset Functions
10 USB 1.1 support available separately from third-party vendors
12 ATA/100 and 48-bit LBA (137+ GB) drive support provided in Intel®Application Accelerator utility
15 Advanced graphics features supported in XFree86 4.2
16 Advanced graphics features supported in WindML
17 Advanced graphics features supported in Photon* MicroGUI
18 Limited to Chrontel* CH700x and Silicon Image* 154/164
19 Limited to Chrontel* CH7007
Software developer.intel.com/platforms/applied/software/devsoft.htm
190
TABLE 11 INTEL®815E AND INTEL®810E2 CHIPSETS—CICH SOUTH
BRIDGE (FW82815E/FW82810E + FW82801E)20
TABLE 12 INTEL®815 AND INTEL®810 CHIPSETS—ICH SOUTH BRIDGE
(FW82815/FW82810 + FW82801AA)
Microsoft Windows NT* 4.0
Microsoft Windows* 98SE
Microsoft Windows* 2000
Microsoft Windows* XP
Microsoft Windows NT* Embedded
Microsoft Windows* XP Embedded
Microsoft Windows* CE 3.0
Microsoft Windows* CE .NET
Linux* 2.4.19
Windriver* VxWorks 5.x
QNX* Neutrino v6
2x USB 1.1 N10 YYYN
10 Y1x1xY Y N
2x IDE ATA/100 ATA/66 ATA/66 YYATA/66 Y PIO PIO Y Y N
2x Integrated LAN Y Y Y Y Y Y YYYYN
Integrated Graphics:
Resolution to 1600x1200, YYYYYYYYY
15 Y16 Y17
color depth to 24bpp
Accelerated 2D features Y Y Y Y YYYYYNY
Accelerated 3D features Y Y Y Y YYYYNNN
Accelerated video features Y Y Y Y YYYYYNN
DVO encoder support
(includes TV-Out, TMDS, Y Y Y Y Y Y Y18 Y18 Y19 NN
and LVDS devices)
Major Chipset Functions
Microsoft Windows NT* 4.0
Microsoft Windows* 98SE
Microsoft Windows* 2000
Microsoft Windows* XP
Microsoft Windows NT* Embedded
Microsoft Windows* XP Embedded
Microsoft Windows* CE 3.0
Microsoft Windows* CE .NET
Linux* 2.4.19
Windriver* VxWorks 5.x
QNX* Neutrino v6
2x USB 1.1 N10 YYYN
10 Y1x1xYYY
2x IDE ATA/66 Y Y Y Y Y Y PIO PIO Y Y Y
AC’97 2.1 Y Y Y Y Y Y Y Y Y N Y
Integrated Graphics:
Resolution to 1600x1200, YYYYYYYYY
15 Y16 Y17
color depth to 24bpp
Accelerated 2D features Y Y Y Y YYYYYNY
Accelerated 3D features Y Y Y Y YYYYNNN
Accelerated video features Y Y Y Y YYYYYNN
DVO encoder support
(includes TV-Out, TMDS, Y Y Y Y Y Y Y18 Y18 Y19 NN
and LVDS devices)
Major Chipset Functions
10 USB 1.1 support available separately from third-party vendors
15 Advanced graphics features supported in XFree86 4.2
16 Advanced graphics features supported in WindML
17 Advanced graphics features supported in Photon* MicroGUI
18 Limited to Chrontel* CH700x and Silicon Image* 154/164
19 Limited to Chrontel* CH7007
20 For the Microsoft OS driver support, check on FDBL at Applied Computing -> Products -> Chipsets ->
Havasupai -> Software.
developer.intel.com/platforms/applied/software/devsoft.htm Software
191
TABLE 13 INTEL®440BX CHIPSET—PIIX4E SOUTH BRIDGE (FW82443BX +
FW82371EB)
TABLE 14 INTEL®440MX CHIPSET—INTEGRATED SOUTH BRIDGE, PIIX4E
COMPATIBLE (FW82443MX100)
TABLE 15 INTEL®430TX CHIPSET—PIIX4 SOUTH BRIDGE (FW82439TX +
FW82371EB)
Microsoft Windows NT* 4.0
Microsoft Windows* 98SE
Microsoft Windows* 2000
Microsoft Windows* XP
Microsoft Windows NT* Embedded
Microsoft Windows* XP Embedded
1x USB 1.0 N10 YYYN
10 YYYYYY
2x IDE ATA/33 Y Y Y Y Y Y PIO PIO Y Y Y
Microsoft Windows* CE 3.0
Microsoft Windows* CE .NET
Linux* 2.4.19
Windriver* VxWorks 5.x
QNX* Neutrino v6
Major Chipset Functions
Microsoft Windows NT* 4.0
Microsoft Windows* 98SE
Microsoft Windows* 2000
Microsoft Windows* XP
Microsoft Windows NT* Embedded
Microsoft Windows* XP Embedded
1x USB 1.0 N10 YYYN
10 YYYYYY
2x Ultra DMA/33 Y Y Y Y Y Y PIO PIO Y Y Y
Microsoft Windows* CE 3.0
Microsoft Windows* CE .NET
Linux* 2.4.19
Windriver* VxWorks 5.x
QNX* Neutrino v6
Major Chipset Functions
Microsoft Windows NT* 4.0
Microsoft Windows* 98SE
Microsoft Windows* 2000
Microsoft Windows* XP
Microsoft Windows NT* Embedded
Microsoft Windows* XP Embedded
1x USB 1.0 N10 YYYN
10 YYYYYY
1x IDE ATA/33 Y Y Y Y YY PIO PIO Y Y Y
AC’97 2.1 Y Y Y Y Y Y Y Y Y N Y
Microsoft Windows* CE 3.0
Microsoft Windows* CE .NET
Linux* 2.4.19
Windriver* VxWorks 5.x
QNX* Neutrino v6
Major Chipset Functions
10 USB 1.1 support available separately from third-party vendors
Software developer.intel.com/platforms/applied/software/devsoft.htm
192
TABLE 16 INTEL®430HX CHIPSET—PIIX3 SOUTH BRIDGE (FW82439HX +
SB82371SB)
TABLE 17 INTEL®NETWORK ADAPTERS
Microsoft Windows NT* 4.0
Microsoft Windows* 98SE
Microsoft Windows* 2000
Microsoft Windows* XP
Microsoft Windows NT* Embedded
Microsoft Windows* XP Embedded
1x USB 1.0 N10 YYYN
10 YYYYYY
2x Bus Master IDE Y Y Y Y Y Y PIO PIO Y Y Y
Microsoft Windows* CE 3.0
Microsoft Windows* CE .NET
Linux* 2.4.19
Windriver* VxWorks 5.x
QNX* Neutrino v6
Major Chipset Functions
Microsoft Windows NT* 4.0
Microsoft Windows* 98SE
Microsoft Windows* 2000
Microsoft Windows* XP
Microsoft Windows NT* Embedded
Microsoft Windows* XP Embedded
Intel® PRO/1000 (includes 82544) Y Y Y Y Y Y N N Y Y Y
Intel® PRO/100 Adapters
(includes 82557, 82558, Y Y Y Y YYYYYYY
82559, 82550)
Intel® PRO/Wireless Adapters21
(includes 802.11a and 802.11b Y Y Y Y Y N Y Y Y N N
adapters)
Microsoft Windows* CE 3.0
Microsoft Windows* CE .NET
Linux* 2.4.19
Windriver* VxWorks 5.x
QNX* Neutrino v6
Major Chipset Functions
10 USB 1.1 support available separately from third-party vendors
21 Some Wireless adapters are not supported by all operating systems; check supported operating systems at
http://support.intel.com/support/network/wireless/31485.htm.
developer.intel.com Communications and Embedded Developer’s Networks
193
Intel®Communications Alliance
The Intel®Communications Alliance is a community of communications and embedded developers and solutions
providers who share a vision of the convergence of communications and embedded computing technologies. Members
of the Intel Communications Alliance include operating systems and tools providers, software providers, hardware
providers and integrators. These companies share a commitment to accelerating the convergence of computing and
communications based on Intel®technologies, products and services. By networking, building new relationships and working
together, members of the Intel Communications Alliance have the opportunity to deliver innovative solutions, in less time
at lower cost, and pass these competitive benefits on to their customers. Intel works with community members, providing
underlying communications and computing technologies and driving the industry-standard specifications needed for greater
choice, stability and opportunities for market segment growth.
The Intel Communications Alliance reflects Intel’s growing commitment to the success of communications and embedded
market segments in key areas:
Communications and computing technologies and industry initiatives
Solutions development and co-marketing assistance
Standards leadership
Identification with the Intel Communications Alliance positions member companies as industry leaders. Active
participation creates unique opportunities to engage with Intel and benefit from opportunities only possible through
collaboration with the worldwide communications and embedded ecosystem. Engage with the Intel Communications
Alliance and share the benefits of our worldwide community.
http://www.intel.com/go/ica
Communications and Embedded
Developer’s Networks
A community of communications and embedded
developers and solution providers
Intel® Communications Alliance
Communications and Embedded Developer’s Networks developer.intel.com
194
Intel®Personal Internet Client Architecture Developer Network
Membership in the Intel®PCA Developer Network provides application developers, device manufacturers, development
tools vendors, and service providers with technical data, marketing support, marketing exposure, marketing
opportunities, and industry connections they need to accelerate the innovation and marketing of wireless Internet solutions.
Joining this Web-based community today provides you with even easier access to the resources you need to design,
develop, and deploy innovative solutions based on this widely supported standards-based architecture. Here are just some
of the benefits:
Now it is easier to make the industry connections you need through the Intel PCA Developer Network Company
Directory.
The new and enhanced Intel®PCA Developer Network Solutions Catalog provides even faster access to Intel®PCA
building blocks, third-party tools, and software.
Intel PCA Development Support and Technical Support pages deliver the data you need.
The Intel®PCA Developer Network Newsletter keeps you informed on the latest Intel®PCA wireless solutions.
Benefits
PCA Developer Network provides access to critical product and software tool information to enable members to bring
solutions to the market. Members become part of community to share valuable information to help accelerate the
development of wireless solutions. Intel PCA Developer Network provides members the following benefits:
Advance information
Access to development tools and platforms
Software building blocks for applications
Application and technical support
Marketing assistance and cooperative marketing opportunities
Networking and matchmaking opportunities
Joining the community is easy. Register today at no cost and accelerate your innovation. Please see link:
http://developer.intel.com/pca/developernetwork/about.htm
http://www.intel.com/pca
Intel® PCA
Developer Networ
k
It's all about being first!
COMPREHENSIVE SUPPORT FOR EMBEDDED PRODUCTS
Intel is proud of the wide variety of hardware and software development tools available to support you in all phases of the
development cycle. Some of the industry’s leading tools suppliers are providing high-performance Windows*-based
software; full-featured, real-time emulators; along with flexible, fully integrated device programming support for Intel’s
embedded products. We are committed to providing the tools and support needed to speed the learning curve and reduce
design time. The pages that follow list our tool vendors and contact information, including World Wide Web addresses. Feel
free to contact them directly, browse their home site, or look up their tool fact sheets on Intel’s Web-based Electronic Tools
Catalog at: http://appzone.intel.com/toolcatalog/.
MICROCONTROLLERS, NETWORK AND MICROPROCESSORS—Hardware Support
MCS®196/296 MCS®51/251 80X86 AND PENTIUM®PENTIUM®II, PENTIUM®III PENTIUM®4 AND XEON
MICROCONTROLLERS MICROCONTROLLERS PROCESSORS AND CELERON®PROCESSORS PROCESSORS
Supports both 196 & 296
Microcontrollers
ACCESSORIES/ADAPTERS
Emulation Technology, Inc. Emulation Technology, Inc. Adapter Technologies, Inc. American Arium American Arium
Tribal Microsystems Emulation Technology, Inc. Intel Corp. Intel Corp.
Hitex Development Tools GmbH
EMULATORS
Dr. Krohn & Stiller CEIBO, Inc. American Arium Agilent American Arium
Huntsville Microsystems ChipTools, Inc. CEIBO, Inc. American Arium
Lauterbach Datentechnik Dr. Krohn & Stiller Hewlett-Packard Company Applied Microsystems Corp.
GmbH Emulation Technology, Inc. Hitex Development Tools GmbH Microtek International
Nohau Corp. Agilent Microtek International
Phyton Hi-Lo Systems Research Signum Systems
Signum Systems HiTech Equipment Corp. Softaid, Inc.
Hitex Development Tools GmbH## Sophia Systems
Huntsville Microsystems
ISystems
Lauterbach Datentechnik
GmbH
MetaLink Corp., Inc.
Nohau Corp.
Signum Systems
Tribal Microsystems
MIcetek International
EVALUATION BOARDS AND KITS
Intel Corp. HiTech Equipment Corp. Intel Corp. Intel Corp. Intel Corp.
The Dearborn Group Intel Corp.
HARDWARE ACCESSORIES—MEMORY & STORAGE
Forte Design Systems ITT Cannon Adtron Corp. Adtron Corp. Adtron Corp.
Newnex AnnaSoft AnnaSoft AnnaSoft
Forte Design Systems Datalight, Inc. Datalight, Inc. Datalight, Inc.
Intel Corp. Intel Corp. Intel Corp.
M-Systems Inc. M-Systems Inc. M-Systems Inc.
Microsoft Corp. Microsoft Corp. Microsoft Corp.
Phoenix Technologies Phoenix Technologies Phoenix Technologies
Syquest Technology Syquest Technology Syquest Technology
LOGIC/BUS ANALYZERS
Agilent Agilent DLI Digital Logic Instruments GmbH Agilent Agilent
Hewlett-Packard Company Tektronix, Inc. Tektronix, Inc.
Agilent
Tektronix, Inc.
PC/104 MODULES
Adtron Corp. Adtron Corp.
Ampro Computers, Inc. Ampro Computers, Inc.
EEPD EEPD
Imagenation Corp. Jumptec
Jumptec
Motion Engineering, Inc.
developer.intel.com/design/develop.htm Development Tools and Support
195
Development Tools and Support
Development Tools and Support developer.intel.com/design/develop.htm
196
MICROCONTROLLERS, NETWORK AND MICROPROCESSORS—Hardware Support
(continued)
MCS®196/296 MCS®51/251 80X86 AND PENTIUM®PENTIUM®II, PENTIUM®III PENTIUM®4 AND XEON
MICROCONTROLLERS MICROCONTROLLERS PROCESSORS AND CELERON®PROCESSORS PROCESSORS
Supports both 196 & 296
Microcontrollers
SINGLE BOARD COMPUTING
Advantech Advantech Advantech
American Predator Corp. American Predator Corp. Diversified Technology
Ampro Computers, Inc. Ampro Computers, Inc. Force Computers
Carlo Gavazzi Carlo Gavazzi Kontron
Cell Computing Cell Computing Nexcom
Concurrent Technologies Concurrent Technologies Portwell
Diversified Technology Diversified Technology RadiSys Corp.
Force Computers Force Computers Trenton Technology
HiTech Equipment Corp. I-Bus
I-Bus Itox
ICS Advent Jumptec
Itox Kontron
Jumptec Micro Industries
Kontron Micro Industries Microbus
Microbus Motorola Computer Group
Motion Engineering, Inc. Pep Modular Computers
Motorola Computer Group Portwell
Pep Modular Computers RadiSys Corp.
Portwell SBS Technologies
RadiSys Corp. Trenton Technology
SBS Technologies VMIC
Trenton Technology WinSystems
VMIC
WinSystems
SUPPORT COMPONENTS
Intel Corp. Intel Corp.
National Semiconductor National Semiconductor
Vadem Vadem
MICROCONTROLLERS, NETWORK AND MICROPROCESSORS—Hardware Support
i960®STRONGARM* INTEL®XSCALEINTEL®IXA NETWORK
PROCESSORS PROCESSORS TECHNOLOGY PROCESSORS
Supports I/O Processors 80200/80312 IXP 220/225/425
PXA210/PXA250 IXP 1200/2400/2800
ACCESSORIES/ADAPTERS
Cyclone Microsystems, Inc.
Emulation Technology, Inc.
Intel Corp.
PLX Technology, Inc.
SKY Computers, Inc.
EMULATORS
Corelis, Inc. Applied Microsystems Corp. ARM, Ltd. Applied Microsystems Corp.
Spectrum Digital, Inc. ARM, Ltd. Embedded Performance, Inc. ARM, Ltd.
Sophia Systems Lauterbach Datentechnik, GmbH Embedded Performance, Inc.
Macraigor Systems LLC Lauterbach Datentechnik, GmbH
Sophia Systems Macraigor Systems LLC
Wind River Systems, Inc. Sophia Systems
Wind River Systems, Inc.
EVALUATION BOARDS AND KITS
CompuLab Acorn Systems ADI Engineering Intel Corp.
Cyclone Microsystems, Inc. China Business Services Cyclone Microsystems, Inc. RadiSys Corp.
Intel Corp. Cogent Computer Systems, Inc. Intel Corp.
GDA Technologies Wind River Systems, Inc.
HARDWARE ACCESSORIES—MEMORY AND STORAGE
Emulation Technology, Inc.
LOGIC/BUS ANALYZERS
Agilent Tektronix, Inc. Agilent Agilent
DLI Digital Logic Corelis, Inc. New Wave PDG
Instrument GmbH Tektronix, Inc. Tektronix, Inc.
developer.intel.com/design/develop.htm Development Tools and Support
197
MICROCONTROLLERS, NETWORK AND MICROPROCESSORS—Hardware Support
(continued)
i960®STRONGARM* INTEL®XSCALEINTEL®IXA NETWORK
PROCESSORS PROCESSORS TECHNOLOGY PROCESSORS
Supports I/O Processors 80200/80312 IXP 220/225/425
PXA210/PXA250 IXP 1200/2400/2800
PC/104 MODULES
SINGLE BOARD COMPUTING
Cyclone Microsystems, Inc. Accelent Systems, Inc. ADI Engineering Force Computers
ADS Cyclone Microsystems, Inc. Kontron
RadiSys Corp.
SUPPORT COMPONENTS
Applied Micro Circuits Corp. ADI Engineering
Galileo Technology, Inc.
Intel Corp.
V3 Semiconductor
MICROCONTROLLERS, NETWORK AND MICROPROCESSORS—Software Support
MCS®196/296 MCS®51/251 80X86 AND PENTIUM®PENTIUM®II, PENTIUM®III PENTIUM®4 AND XEON
MICROCONTROLLERS MICROCONTROLLERS PROCESSORS AND CELERON®PROCESSORS PROCESSORS
ASSEMBLERS & COMPILERS, LINKERS/LOCATORS
IAR Systems Avocet Systems, Inc. Borland International CAD-UL, Inc. Red Hat
Phyton, Inc. IAR Systems CAD-UL, Inc. Red Hat Intel Corp.
Tasking Intel Corp. Red Hat Intel Corp. GNU
Keil Elektronik GmbH*. DDC-I, Inc. GNU Microsoft Corp.
Micro Computer Control Corp. Intel Corp. Microsoft Corp.
Tasking. GNU
MetaWare, Inc.
Metrowerks
Microsoft Corp.
Rational Software Corp.
Thomson Software Products
BIOS
American Megatrends, Inc. American Megatrends, Inc. American Megatrends, Inc.
AnnaSoft Award Software International, Inc. Award Software International, Inc.
Award Software International, Inc. General Software, Inc. General Software, Inc.
General Software, Inc. Insyde Software Insyde Software
Insyde Software Phoenix Technologies Phoenix Technologies
Phoenix Technologies
BOARD TESTERS
Antron/Psilogic Antron/Psilogic Antron/Psilogic
International Test Technologies International Test Technologies International Test Technologies
DEBUGGERS
ChipTools, Inc. ChipTools, Inc. AnnaSoft CAD-UL, Inc. Red Hat
IAR Systems CAD-UL, Inc. Red Hat GNU
Intel Corp. Concurrent Sciences, Inc. GNU Microsoft Corp.
Tasking Embedded Power Corp. Microsoft Corp.
Universal Cross-Assemblers Micro Digital, Inc.
Microsoft Corp.
Paradigm Systems
DEVELOPMENT SOFTWARE
Chip Tools, Inc. Avocet Systems Cogent Real-Time Systems, Inc. Cogent Real-Time Systems, Inc. Cogent Real-Time Systems, Inc.
IAR Systems Intel Corp. Intel Corp. Intel Corp.
Phyton, Inc. Intrinsyc Software, Inc. Intrinsyc Software, Inc. Intrinsyc Software, Inc.
Tasking Log Point Technologies, Inc.
FUZZY LOGIC SOFTWARE
Aptronix
Inform
Syndesis
Development Tools and Support developer.intel.com/design/develop.htm
198
MICROCONTROLLERS, NETWORK AND MICROPROCESSORS—Software Support
(continued)
MCS®196/296 MCS®51/251 80X86 & PENTIUM®PENTIUM®II, PENTIUM®III PENTIUM®4 AND XEON
MICROCONTROLLERS MICROCONTROLLERS PROCESSORS AND CELERON®PROCESSORS PROCESSORS
OPERATING SYSTEMS AND REAL-TIME EXTENSIONS
CMX Company CMX Company Accelerated Technology, Inc. LynuxWorks LynuxWorks
Embedded Systems Products, Inc. Embedded Systems Products, Inc. AnnaSoft Microsoft Corp. Microsoft Corp.
IAR Systems Signum Systems Datalight, Inc. MontaVista Software MontaVista Software
Embedded Systems Products, Inc. QNX Software Systems, Ltd. QNX Software Systems, Ltd.
IBM Software Group—OEM Sales VenturCom, Inc. VenturCom, Inc.
Industrial Programming, Inc. Wind River Systems, Inc. Wind River Systems, Inc.
Integrated Systems, Inc.
JMI Software Systems, Inc.
KADAK Products Ltd.
LynuxWorks
Micro Digital, Inc.
Microsoft Corp.
Microtec
Microware Systems Corp.
On Time Marketing
Phar Lap Software, Inc.
QNX Software Systems Ltd.
RadiSys Corp.
U.S. Software
VenturCom, Inc.
Wind River Systems, Inc.
PROGRAMMERS
Advin Systems, Inc. Advin Systems, Inc.
BP Microsystems, Inc. BP Microsystems, Inc.
Data I/O Corp. CEIBO, Inc.
Needham’s Electronics Data I/O Corp.
Phyton, Inc. Needham’s Electronics
SMS Microcomputer Systeme GmbH Phyton, Inc.
Stag Programmers Ltd. SMS Microcomputer Systeme GmbH
System General Corp. (US) System General Corp. (US)
Tribal Microsystems Tribal Microsystems
Zorchip Corporation
MODELING/SIMULATION
Chip Tools, Inc. Synopsys, Inc. Synopsys, Inc. Synopsys, Inc.
Chronology Corp.
SOFTWARE MODEM
SOFTWARE TEST/VERIFICATION
Applied Microsystems Corp. Applied Microsystems Corp. Applied Microsystems Corp.
VOICE RECOGNITION
MICROCONTROLLERS, NETWORK AND MICROPROCESSORS—Software Support
i960®STRONGARM* INTEL®XSCALEINTEL®IXA NETWORK
PROCESSORS PROCESSORS TECHNOLOGY PROCESSORS
ASSEMBLERS & COMPILERS, LINKERS/LOCATORS
Green Hills Software, Inc. ARM, Ltd. ARM, Ltd. ARM, Ltd.
Intel Corp. Red Hat GNU GNU
Irvine Compiler Corp. GNU Green Hills Software, Inc. Green Hills Software, Inc.
Green Hills Software, Inc. Intel Corp. Intel Corp.
MetaWare, Inc. LynuxWorks LynuxWorks
MontaVista MontaVista
Red Hat Red Hat
Wind River Systems, Inc. Wind River Systems, Inc.
BIOS
BOARD TESTERS
Corelis, Inc. Corelis, Inc.
International Test Technologies
developer.intel.com/design/develop.htm Development Tools and Support
199
MICROCONTROLLERS, NETWORK AND MICROPROCESSORS—Software Support
(continued)
i960®STRONGARM* INTEL®XSCALEINTEL®IXA NETWORK
PROCESSORS PROCESSORS TECHNOLOGY PROCESSORS
DEBUGGERS
Accelerated Technology, Inc. ARM, Ltd. ARM, Ltd. ARM, Ltd.
ARM, Ltd. Red Hat Green Hills Software, Inc. Green Hills Software, Inc.
Green Hills Software, Inc. GNU Red Hat Intel Corp.
Integrated Systems, Inc. Green Hills Software, Inc. LynuxWorks LynuxWorks
Intel Corp. MetaWare, Inc. MontaVista MontaVista
Real-Time Innovations, Inc. Wind River Systems, Inc. RadiSys Corp.
Red Hat
Wind River Systems, Inc.
DEVELOPMENT SOFTWARE
Green Hills Software, Inc. ARM, Ltd. ARM, Ltd. Consystant
Intel Corp. Red Hat Accelerated Technology, Inc. Intel Corp.
Wind River Systems, Inc. GNU Green Hills Software, Inc. MontaVista
Green Hills Software, Inc. Red Hat RadiSys Corp.
MetaWare, Inc. Wind River Systems, Inc. Teja Technologies
Wind River Systems, Inc.
FUZZY LOGIC SOFTWARE
OPERATING SYSTEMS AND REAL-TIME EXTENSIONS
Accelerated Technology, Inc. Accelerated Technology, Inc. Accelerated Technology, Inc. Accelerated Technology, Inc.
JMI Software Systems, Inc. CMX Company OSE Systems LynuxWorks
KADAK Products Ltd. Embedded Systems Products, Inc. Express Logic, Inc. MontaVista
NSI Com Express Logic, Inc. LynuxWorks OSE Systems
Wind River Systems, Inc. Integrated Systems, Inc. MontaVista QNX Software
JMI Software Systems, Inc. Microware Systems, Corp. RadiSys Corp.
KADAK Products Ltd. QNX Software Wind River Systems, Inc.
Lucent Technologies, Inc. Red Hat
LynuxWorks Wind River Systems, Inc.
Mentor Graphics Corp.
Microsoft Corp.
Microware Systems Corp.
MontaVista
Net BSD
Perihelion Distributed Software Ltd.
Sun Microsystems, Inc.
Symbian
U.S. Software
Wind River Systems, Inc.
PROGRAMMERS
MODELING/SIMULATION
Integrated Systems, Inc. Applied Microsystems Corp. ARM, Ltd. Intel Corp.
Synopsys ARM, Ltd. Red Hat
Corelis Inc. VaST Systems Technology
Summit
SOFTWARE MODEM
Altacom
PC Tel
Surf
SOFTWARE TEST/VERIFICATION
SOFTWARE TEST/VERIFICATION
Dragon Systems L & H
Development Tools and Support developer.intel.com/design/develop.htm
200
MICROCONTROLLERS, NETWORK AND MICROPROCESSORS—
Other Products and Services
MCS®196/296 MCS®51/251 80X86 AND PENTIUM®PENTIUM®II, PENTIUM®III PENTIUM®4 AND XEON
MICROCONTROLLERS MICROCONTROLLERS PROCESSORS AND CELERON®PROCESSORS PROCESSORS
CONSULTING SERVICES
Applied Microsystems Corp. Applied Microsystems Corp.
NETWORKING
Applied Microsystems Corp. RouterWare, Inc. RouterWare, Inc.
U.S. Software U.S. Software
PCI BOARDS AND COMPONENTS
FuturePlus Systems FuturePlus Systems FuturePlus Systems
Agilent Agilent Agilent
PCI BOARDS TOOLS
PRINTERS
SYSTEM INTEGRATORS
X WINDOW SYSTEM
INTELLIGENT I/O S/W TOOLS
MICROCONTROLLERS, NETWORK AND MICROPROCESSORS—
Other Products and Services
i960®STRONGARM* INTEL®XSCALEINTEL®IXA NETWORK
PROCESSORS PROCESSORS TECHNOLOGY PROCESSORS
CONSULTING SERVICES
CompuLab Accelent Systems, Inc. ADI Engineering RadiSys Corp.
Intel Corp. ADS Cyclone Microsystems, Inc. Silicon & Software Systems
Venture Technologies Anigma Design Interface Ltd. Wind River Systems, Inc.
China Business Services
ISI Design
NETWORKING
CompuLab
Harris & Jeffries
Integrated Systems, Inc.
PCI BOARDS AND COMPONENTS
Applied Micro Circuits Corp. Cyclone Microsystems, Inc. RadiSys Corp.
Catalyst Enterprise, Inc.
Cyclone Microsystems, Inc.
Gefen Computer Engineering, Ltd.
Intel Corp.
PLX Technology
V3 Semiconductor
developer.intel.com/design/develop.htm Development Tools and Support
201
MICROCONTROLLERS, NETWORK AND MICROPROCESSORS—
Other Products and Services (continued)
i960®STRONGARM* INTEL®XSCALEINTEL®IXA NETWORK
PROCESSORS PROCESSORS TECHNOLOGY PROCESSORS
PCI BOARDS TOOLS
Catalyst Enterprise, Inc.
Corelis, Inc.
FuturePlus Systems
Hewlett-Packard Company
PRINTERS
Advanced Hardware Architecture
Bitstream, Inc.
Destiny Technology Corp.
Intel Corp.
Peerless Systems Corp.
Pipeline
Xionics Document Technologies, Inc.
SYSTEM INTEGRATORS
AnnaSoft Silicon & Software Systems
Bsquare Corp. Wipro
Eclipse
X WINDOW SYSTEM
AGE Logic, Inc.
INTELLIGENT I/O S/W TOOLS
Intel Corp. Wind River Systems, Inc.
Serano Systems, Corp.
Wind River Systems, Inc.
Development Tools and Support developer.intel.com/design/develop.htm
202
developer.intel.com Price Quote and Ordering
203
North American Distributors:
Arrow Electronics, Inc.
50 Marcus Drive
Melville, NY 11747
Tel: 800-777-2776
Avnet Cilicon
2211 South 47th Street
Phoenix, AZ 85034
Tel: 800-408-8353
Digi-Key
701 Brooks Ave. South
Thief River Falls, MN 56701
Tel: 800-344-4539
Rochester Electronics, Inc.
10 Malcolm Hoyt Drive
Newburyport, MA 01950
Tel: 978-462-9332
European Distributors
Austria
Silica (An Avnet Company)
Diefenbachgasse 35-2
A-1150 Wien
Tel: +43 1 866 42 0
Fax: +43 1 866 42 350
E-mail: silica.wien@avnet.com
Spoerle an Arrow Company
Landstraßer Hauptstrasse 97-101(Stiege 1 /4A)
1030 Vienna, Austria
Tel: +43 1 3 60 46 0
Fax: +43 1 3 60 46 90
www.spoerle.com
Spoerle an Arrow Company
Am Kehlerpark 1
16850 Dornbirn, Austria
Tel: +43 (0)5572 38 65 00-0
Fax: +43 (0)5572 38 65 00-90
E-mail: Vertrieb.Dornbirn@spoerle.com
Unique Memec
Diefenbachgasse 35A
1150 Wien, Austria
Tel: +43 1 892 85 60
Fax: +43 1 862 85 60 25
E-mail: info@unique.at.memec.com
Belgium
Arrow Spoerle Electronic
Keiberg II
Minervastraat 14/B2
1930 Zaventem, Belgium
Tel: +32(0)272-54660
Fax: +32(0)272 54511
Getronics Belux
Research Park Zellik
Pontbeeklaan 43
1731 Zellik, Belgium
Tel: +32(0)24671755
Fax: +32(0)24671748
www.getronics.be
Getronics Belux
Place Madouplein 1 box 8
1210 Brussels, Belgium
Tel: +32 (0)2 229 91 11
Fax: +32 (0)2 229 92 00
Unique Memec
Gen. De Wittelaan N 17, B10
2800 Mechelen, Belgium
Tel: +32 15 44 00 80
Fax: +32 15 44 00 88
E-mail: info@unique.be.memec.com
www.unique.memec.com
Silica (An Avnet Company)
Kouterveldstraat 20
1831 Diegem, Belgium
Tel: + 32 (2) 709 90 00
Fax: +32 (2) 709 98 10
E-mail: silica.belgium@avnet.com
Bulgaria
Unique Memec
no office address
Tel: +35 92 719 103
Fax: +35 92 971 440 1
E-mail: info@bg.memec.com
Croatia
Unique Memec
no office address
Tel: +38 51 23 245 4
Fax: +38 51 23 245 4
E-mail: info@hr.memec.com
Czech Republic
Spoerle Electronic
Charkovská 24
101 00 Praha 10, Czech Republic
Tel: +42 02 71 74 20 00
Fax: +42 02 71 74 20 01
Silica (An Avnet Company)
Argentinská 38/286
CZ - 170 00 Praha 7
Tel: +420 2 3409 1031
Fax: +420 2 3409 1030
E-mail: silica.praha@avnet.com
Unique Memec
no office address
Tel: +420 24 1933765-26
Fax: +420 24 1933765-27
E-mail: info@cz.memec.com
Denmark
Arrow Component Denmark
Snedehoilm 13A
2730 Herlev, Denmark
Tel: +45 7010-2211
Fax: +45 44 50 82 10
Silica (An Avnet Company)
Banemarksvej 50
2605 Brondby, Denmark
43 22 80 1044 88 08 00
Fax: +45 43 22 80 11
E-mail: silica.denmark@avnet.com
Unique Memec
Tempovej 16
2750 Ballerup, Denmark
Tel: +45 4343 2020
Fax: +45 4343 2122
www.unique.memec.com
Finland
Arrow Finland
Kalkkipellontie 4
Box 280
FIN-02600 Espoo, Finland
Tel: +358 9 47 66 60
Fax: +358 9 47 66 63 19 (Administration)
Fax: +358 9 47 66 63 27 (Sales)
Silica (An Avnet Company)
Niittykatu 8
FIN-02200 Espoo
Tel: +358 (0) 9 613 182 00
Fax: +358 (0) 9 613 182 80
E-mail: silica.helsinki@avnet.com
Unique Memec
Kutojantie 7 - 02630
Espoo, Finland
Tel: +358 9 25 35 44 60
Fax: +358 9 25 35 44 77
E-mail: info@unique.fi.memec.com
France
Arrow Electronique S.A:
21, rue du Jura
Silic 585
94633 RUNGIS Cedex, France
Tel: +33 (0) 1 4978 4900
Fax: +33 (0) 1 41 80 96 30
Silica (An Avnet Company)
6 / 8 rue Ambroise Croizat, ZAE les glaises
91127 Palaiseau Cedex, France
Tel: +33 (0)1 64 47 29 29
Fax: +33 (0)1 64 4700 84
E-mail: silica.paris@avnet.com
Unique Memec
8 rue de L'Esterel, Silic 583
94663 Rungis Cedex, France
Tel: +33 1 46 87 22 00
Fax: +33 1 46 87 80 49
www.unique.memec.com
Germany
Arrow Spoerle Germany
Max Planck Str. 1-3
63303 Dreieich, Germany
Tel: +49 (0) 6103 30 42 01
Fax: +49 (0) 6103 304 - 0
www.spoerle.com
Silica (An Avnet Company)
Gruber Strasse 60c
85586 Poing, Germany
Tel: +49-8121-777 02
Fax: +49-812 777 531
E-mail: silica.muenchen@avnet.com
Unique Memec
Leonhardsweg 4
82008 Unterhaching, Germany
Tel: +49 89 61 52 390
Fax: +49 89 61 52 39 97
E-mail: info@unique.de.memec.com
Greece
Arrow Electronics Hellas
Elia Eliou 31
Athens 11743, Greece
Tel.: +30-210-9020165
Fax: +30-210-9022118
Silica (an Avnet Company)
1, Anaxagora Street
GR-17778 Tavros (Athens)
Phone: +30-210-342 51 28
Fax: +10-210-341 43 04
Email: silica.greece@avnet.com
Unique Memec
no office address
Tel.: +30 210 325 362 6
Fax: +30 210 321 606 3
E-mail: info@gr.memec.com
Hungary
SPOERLE ELECTRONIC
BUDAPEST
Váci út 45
1134 Budapest
Fax: (01) 350 6277
Tel: (01) 3506275 / 76; 329 4202
E-mail: SalesOffice.Budapest@spoerle.com
Silica (An Avnet Company)
Montevideo u. 2/B
1037 Budapest, Hungary
Tel: +36 1 436 72 15
Fax: +36 1 43 672 13
E-mail: silica.budapest@avnet.com
Unique Memec
no office address
Tel: +36 1 231 700 0
Fax: +36 1 231 70 11
E-mail: info@hu.memec.com
Ireland
Arrow Electronics Ltd
10A Second Floor
Beckett Way
Park West
Dublin 12, Ireland
Tel: +353 1 629 870 0 (Reception)
Fax: +353 1 629 870 1
Silica (An Avnet Company)
Unit 7, Swords Business Park
Swords Co., Dublin, Ireland
Tel: +353 1 890 1000
Fax: +353 1 890 101 0
E-mail: silica.dublin@avnet.com
Unique Memec
Gardner House, Bank Place
Limerick, Ireland
Tel: +353 61 31 61 16
Fax: +353 61 31 61 17
www.unique.memec.com
Israel
Arrow\Rapac Ltd.
60 Amal St.
Kiryat-Arieh
Petach-Tikva 49130
Tel: +972-3-9203456
Fax: +972-3-9203443
www.arrow-israel.co.il
Eastronics Israel
Rozanis Street 11
P.O.B. 39300
Tel Baruch 61392 Tel-Aviv, Israel
Tel: +972 3 6458746
Fax: +972 3 6458 666
E-mail: eastronics.co.il
www.eastronics.co.il
Italy
Arrow Silverstar
Viale Fulvio Testi, N 280
I-20126 Milano, Italy
Tel: +39-02-66-1251
Fax: +39-02-66101-359
Arrow Lasi Elettronica -Milano
Viale Fulvio Testi 280
20126 Milano, Italy
Tel: +39 02 661431
Fax: +39 02 66101385
Silica (An Avnet Company)
Via Valtellina 23/25
I-20092 Cinisello B., MI
Tel: +39 02 660 921
Fax: +39 02 660 165 43
E-mail: silica.milano@avnet.com
Unique Memec
Via Bernina 7
20158 Milano, Italy
Tel: +39 02 60 79 51
Fax: +39 02 68 87 143
E-mail: info@unique.it.memec.com
Netherlands
Arrow / Spoerle Electronic
Postbus 476
3990 GC Houten, Netherlands
Tel: +31(0)30 6 39 12 05
Fax: +31(0)30 6 39 12 34
E-mail: SalesOffice.Utrecht@spoerle.com
Getronics
Energieweg 1
2627 AP
P.O Box 125
2600 AC Delft, Netherlands
Tel: +31(0)152609906
Fax: +31(0)152619194
www.getronics.nl
Distributor and Manufacturing Representatives
* Third-party marks and names are the property of their respective owners.
Intel Corporation makes no warranty for the use of its products and assumes no responsibility for any errors that may appear in this document. Intel retains the right to make changes to the specifications at any time, without
notice. Contact your local Intel sales office or distributor to obtain the latest specifications before placing your order. Intel Corporation makes no warranty as to the content or reliability of the third-party tool vendor information
contained herein.
Price Quote and Ordering developer.intel.com
204
Gertronics
Donauweg 10
P.O. Box 652
1000 AR Amsterdam, Netherlands
Tel: +31 (20) 5861412
Fax: +31 (20) 5861568
Silica (An Avnet Company)
Takkebijsters 2
4802 HV Breda, Netherlands
Tel: +31 76 572 27 00
Fax: +31 76 572 270 7
E-mail: Silica.breda@avnet.com
Unique Memec
Insulindelaan 134
5613 Eindhoven, Netherlands
Tel: +31 40 26 59 399
Fax: +31 40 26 59 393
E-mail: info@unique.nl.memec.com
Norway
Arrow Components Norway AS
Trygve Nilsens Vei 8
P.O.Box 91 Furuset
N-1001 Oslo, Norway
Tel: +47 52763000
Fax: +47 21306550
Silica (An Avnet Company)
Hagaløkkveien 7
Postboks 63
NL-1371 Asker, Norway
Tel: +47 66 77 36 00
Fax: +47 66 77 36 77
E-mail: silica.norway@avnet.com
Unique Memec
Smedsvingen 4
P.O. Box 366
1379 Nesbru, Norway
Tel: +47 66 77 45 40
Fax: +47 66 77 45 49
E-mail: info@unique.no.memec.com
www.unique.memec.com/
Poland
SPOERLE ELECTRONIC Polska Sp. Zo.o
ul. Sobieskiego 110/28
00-764 Warszawa
Tel: +48 22 856 90 90
Fax: +48 22 851 61 36
Avnet EM Sp. z o.o.
ul. Domaniewska 39a
PL-02-672 Warszawa, Poland
Tel: +48 22640 2351
Fax: +48 22640 2354
E-mail: silica.warszawa@avnet.com
UNIQUE MEMEC POLSKA sp. z o.o.
ul. Sowinskiego 5
PL-44-1000 Gliwice, Poland
Polska
Tel: +48 32 238 03 41
Fax: +48 32 238 06 92
E-mail: info@pl.memec.com
Portugal
ARROW Iberia Electronica
Avda. das Larangeiras 12
2720 Amadora-Lisboa
Portugal
Tel: 351 21 471 48 06
Fax: 351 21 471 08 02
Silica (An Avnet Company)
Rua Corujeira de Baixo 452
PT-4300 -150 Porto; Portugal
Tel: +351 (0) 22 589 8070
Fax: +351 (0)22 589 8071
E-mail: silica.porto@avnet.com
www.aac.avnet.com/europe
Russia
Spoerle Electronic
Avakiana Str. 32/3 Appt. 12
220065 Minsk, Belarus
Mob: +375 29 666 7709
Tel: +375 172197625
Fax: +375 172197625
Kvazar-Micro-M
76, Butyrskaya Str.
125015 Moscow
Russia
Tel: +7 095 210 07 00
Fax: +7 095 210 07 00
E-mail: kmm@kvazar-micro.ru
www.kvazar-micro.ru
Silica (an Avnet company)
Korovinskoye Chaussee 10
Building 2, Office 25
RUS-127484 Moscow
Tel: +386 (0)1 430 1461
Fax: +386 (0)1 430 1464
E-mail: silica.russia@avnet.com
Unique Memec
no office address
Tel.: +7 095 234 063 6
Fax: +7 095 234 064 0
E-mail: info@ru.memec.com
Serbia and Montenegro
Unique Memec
no office address
Tel: +381 11 316 095 7
Fax: +381 11 316 095 7
Slovakia
Unique Memec
no office address
Tel: +421 2 482 508 50
Fax: +421 2 482 508 54
E-mail: info@sk.memec.com
Slovenia
Unique Memec
no office address
Tel: +386 1 568 013 1
Fax: +386 1 568 012 0
South Africa
Arrow Altech Distribution (Pty) Ltd.
53-57 Yaldwyn Road
Hughes Ext, Jet Park
1600 Johannesburg, South Africa
Tel: 27119239600
Fax: 27119239887
E-mail: info@arrow.altech.co.za
www.arrow.altech.co.za
Unique Memec
101 Heritage House
20 Dreyer Street
7700 Claremont, South Africa
Tel: +27 21 674 0835
Fax: +27 21 674 0852
www.unique.memec.com
Spain
Arrow Iberia Electronica
c/ Albasanz 75
28037 Madrid, Spain
Tel: + 34 91 304 30 40
Fax: +34 91 304 24 72
www.arrowiberia.com
Silica (An Avnet Company)
C/Chile, 10-plta 2, ofic 229
Edificio Madrid 92
E-28290 Las Matas (Madrid), Spain
Tel: +34 91 372 71 00
Fax: +34 91 636 97 88
E-mail: silica.madrid@avnet.com
www.aac.avnet.com/europe
Unique Memec
Centro Empresarial El Plantio
Ochandiano 8-2 1
28023 Madrid, Spain
Tel: +34 91 3076 893
Fax: +34 91 37 29 453
E-mail: info@unique.es.memec.com
Sweden
Arrow Components Sweden
Box 67
Kronborgsgränd 19
SE-164 94 Kista, Sweden
Tel: +46 8 56265500
Fax: +46 8 56265770 (Arrow Nordic)
Fax: +46 8 56265550 (Arrow Sweden)
Silica (An Avnet Company)
Englundavâgen 7
Box 1830
S-171 27 Solna, Sweden
Tel: +46 08-587 461 00
Fax: +46 08-587 463 01
E-mail: silica.stockholm@avnet.com
www.aac.avnet.com/europe
Unique Memec
Sehlstedtsgatan 6
115 28 Stockholm, Sweden
Tel: +46 8 45 97 900
Fax: +46 8 45 97 999
E-mail: info@unique.se.memec.com
Switzerland
Silica (An Avnet Company)
Hardstrasse 72
CH-5430 Wettingen, Switzerland
Tel: +41 056 437 51 11
Fax: +41 056 437 55 54
E-mail: silica.wettingen@avnet.com
www.aac.avnet.com/europe
Spoerle Electronic Schweiz
Riedmatt 9
8153 Rumlang, Switzerland
Tel: +41 18 17 6262
Fax: + 41 18 17 6200
Unique Memec
Gaswerkstrasse 32
4901 Langenthal, Switzerland
Tel: +41 62 91 94 200
Fax: +41 62 91 94 209
E-mail: info@unique.ch.memec.com
Turkey
Arrow Elektronik Ticaret A.S.
Cayiryolu sok Ucgen Plaza No:7Kat 8
81120 Icerenkoy
Istanbul, Turkey
Tel: +90 216 575 18 20
Fax: +90 216 575 18 30
Silica (an Avnet Company)
Bayar Gülbahar, Sok. Nr. 17
Perdemsac Plaza D:132
TR-34742 Istanbul
Tel: +90 216 361 89 58
Fax: +90 216 361 89 27
E-mail: silica.turkey@avnet.com
Unique Memec
no office address
Tel: +90 216 339 611 9
Fax: +90 216 339 611 3
E-mail: info@tr.memec.com
UK
Arrow Electronics (UK) Ltd
Edinburgh Way
Harlow
Essex CM20 2DF, UK
Tel: 01279 626777 (Sales)
Tel: 01279 455155 (Customer Service)
Tel: 01279 441144 (Reception)
Fax: 01279 455777
E-mail: sales@arrowuk.com
Silica (An Avnet Company)
Avnet House
Rutherford Close, Meadway
Stevenage, Herts SG1 2EF, UK
Tel: +44 (0)1438 788 310
Fax: +44 (0)1438 788 250
E-mail: silica.stevenage@avnet.com
Unique Memec
64/65 Rabans Close
Aylesbury
Buckinhamshire HP19 8TW, UK
Tel: +44 1296 39 73 96
Fax: +44 1296 39 74 39
E-mail: info@unique.uk.memec.com
www.unique.memec.com/
Ukraine
Kvazar-Micro
1, Severo-Syretskaya Str.
04136 Kiev
Ukraine
Tel: +380 (44) 442 9459
Fax: + 380 (44) 239 9868
E-mail: dic@kvazar-micro.com
www.kvazar-micro.com/
Unique Memec
no office address
Tel: +380 44 290 829 4
Fax: +380 44 254 425 8
E-mail: info@ua.memec.com
Japanese Distributors
Asahi Electronics Co. Ltd.
Shinjuku IS Bldg 2nd Floor, 2-13-12 Shinjuku,
Shinjuku-ku, Tokyo, 160-0022 Japan
Tel: 03-3350-5418
Macnica, Inc.
Macnica Bldg. No. 1
1-6-3, Shin-Yokohama, Kohoku-ku,
Kanagawa, 222-8561 Japan
Tel: 045-470-9821
Okaya Electronics Corp.
Dai-2 Ueno Bldg. 6F
3-7-18 Shin-Yokohama, Kohoku-ku, Yokohama,
Danagawa, 222-0033 Japan
Tel: 045-475-1502
Ryoyo Electro Corp.
Konwa Bldg, 1-12-22 Tsukiji, Chuo-ku, Tokyo,
104-8408 Japan
Tel: 03-3546-5015
Tomen Electronics Corp.
Nishin Bldg, 1-8-27 Kohnan, Minato-ku,
Tokyo 108-8510, Japan
Tel: 03-5462-9618
Unidux, Inc.
Dia Semicon Systems Div.
Tobu AK Bldg, 3-23-3 Shin-Yokohama, Kohoku-ku,
Yokohama-city, Kanagawa-ken, 222-0033 Japan
Tel: 045-476-7451
Asian/Pacific Distributors
Australia
Braemac
1/59-61 Burrows Road, Alexandria
Sydney, 2015 Australia
Tel: 61-2-95506600
Fax: 61-2-95506377
MemecEBV (NSD division)
Unit 6, 17-19 Melrich Road, Bayswater
Victoria, 3153 Australia
Tel: 61-3-97604333
Fax: 61-3-97604344
China
Avnet Sunrise Limited
Rm 612, Chang Xin Bldg..
39 AnDing Rd.
Chao Yang Dist.
Beijing, 100081 China
Tel: 86-10-64413113
Fax: 86-10-64438246
Distributor and Manufacturing Representatives
developer.intel.com Price Quote and Ordering
205
Avnet Sunrise Limited
21/ Strength Plaza.
No. 3, Lane 600
Tian Shan Rd.
Shanghai, 200051 China
Tel: 86-21-52062288
Fax: 86-21-52062099
Avnet Sunrise Limited
10/F, Block A, Electronic Technology Building
11 ZhenNan Zhong Road
Shenzhen, 518031 China
Tel: 86-755-83781886
Fax: 86-755-83783139
Avnet Sunrise Limited
7/F & 16F, Spectrum Tower
53 Hung To Road
KwunTong Hong Kong
Tel: 852-21765388
Fax: 23761235
Arrow Electronics
20/F, Tower Two, Ever Gain Plaza
88 Container Port Road
Kwai Chung, Hong Kong
Tel: 852-24842484
Fax: 852-24871268
Arrow Electronics
Rm F, 9/F, Phase 2, East Ocean Centre
618 Yanan Rd East
Shanghai, 200001 China
Tel: 86-21-53061871
Fax: 86-21-53061876
Arrow Electronics (Shenzhen) Limited
No. 109-112 Building
International Commerce and Exhibition Center
No. 1001 HongHua Road
Futian Free Trade Zone
Shenzhen, 518038 China
Tel: 86-755-3592920
Fax: 86-755-3592377
Arrow Electronics China Ltd.
Rm. 1002, 10F Manhattan
CNT Building
6 Chao YengMen Bei DaJie
Beijing, 10003227 China
Tel: 86-10-85282030
Fax: 86-10-85282698
Synnex Pacific Limited
3/F Block A, Overseas Decoration Building
11 Zhenhua Road
ShenZhen 518031 China
Tel: 755-83342722
Fax: 852-83237466
Honestar Technologies Co., Ltd.
Room 1301-5, Cyber times
Tower A, Cyber Park
Futian District
Shenzhen 518041, PRC
Tel: 86 83475116
Fax: 86 834769911
Dragon Technology Distribution Co., Ltd.
Rm 1823, Landmark North,
Sheung Shui, New Territories
Hong Kong
Tel: (852) 2303 0711
Fax: (852) 2317 7522
WPI International (Hong Kong) Ltd.
Unit 1201-1205
12F/ Miremar Tower
132 Nathan Road
Tsim Sha Tsui
Kowloon, Hong Kong
Tel: (852) 27654860
Fax: (852) 27641129
India
Memec (Asia Pacific) Ltd. Unique Div.
1st Floor, Sandhya Deep Building, 15
Community Centre East of Kailash
New Delhi, 110065 India
Tel: +91-11-6218801, 6225223
Fax: +6215023
WPI International (I) PVT Ltd.
405, Gateway Plaza, Hiranandani Gardens, Powai
Mumbai 400076 India
Tel: +91 22 570 5093
Fax: +91 22 570 5094
Tecnomic
111-113 A-Wing. Carlton Towers
1 Airport Road
Bangalore—560008 India
Tel: 91-80-5286934,5273594
New Zealand
Insight Electronics Pty Ltd.
69 Worchester Boulevard
Christchurch, New Zealand
Singapore
Achieva Components
240 Macpherson Road
#02-02, Pines Industrial Building
Singapore 348574
Tel: 65-8403107
Ingram Micro Asia Ltd
205 Kallang Bahru Road, #04-00
Singapore 339341
Tel: (65) 298 0888
Fax: (65) 3923294
WPI International (S) Pte Ltd.
10 Upper Aljunied Link #07-01/03
York Industrial Building
Singapore 367904
Tel: (65) 282 5188
Fax: (65) 280 4988
The Republic of Korea
Intechn Co.
52-3 Wonhyoro-2Ga Yongsan-Gu,
Seoul 140-112, The Republic of Korea
Tel: +82-2-2129-7960
Fax: +82-2-2129-7802
Uniquest Korea
8th Floor Dongsung Bldg.
158-24, Samsung-Dong
Kangnam-Ku, Seoul, The Republic of Korea
Tel: +82-2-3404-9900
Fax: +82-2-3404-9900
Taiwan
World Peace Industrial Co., Ltd.
1F, 76 Cheng Kung Road, Sec. 1,
Nan Kang, Taipei, Taiwan, R.O.C.
Tel: 886-2-27885200
Fax: 886-2-26510286
Synnex Technology International Corp.
4F, 75, Sec. 3, Ming-Sheng E. Rd.,
Taipei, Taiwan, R.O.C.
Tel: 886-2-25063320
Fax: 886-2-25000606
Acer Sertek Incorporated
8F, 88, Sec 1, Hsin Tai Wu Rd., Hsichin
Taipei Hsien 221, Taiwan, R.O.C.
Tel: 886-2-26963131
Fax: 886-2-26964817
ASEC International Corporation
4F No. 223 Chung Yang Road, Nan Kang
Taipei, Taiwan, R.O.C.
Tel: 886-2-27866677
Fax: 886-2-27865257
Micromax International Corp.
3F, No. 103, Sec. 2, Jiow-Jong Rd., Nei-Hu
Taipei, Taiwan, R.O.C.
Tel: 886-2-27962789
Fax: 886-2-27963889
North American
Manufacturer’s
Representatives
Alabama
Elcom
4940 Corporate Drive, Suite C
Huntsville, AL 35805
Tel: 770-447-8200
Arizona
Addem
8700 E. via de Ventura, Suite 265
Scottsdale, AZ 85258
Tel: 770-447-8200
Arkansas
BP Sales
689 West Renner Rd., Suite 101
Richardson, TX 75080
Tel: 972-234-8438
California–Southern
Vision Technical Sales
300 Goddard, Suite 150
Irvine, CA 92618
Tel: 949-450-9050
California–Northern
T3 Technical Sales
3211 Scott Blvd., Suite 201
Santa Clara, CA 95054
Tel: 408-350-3900
Colorado
Luscombe Engineering Company Inc. of Colorado
1500 Kansas Avenue, Suite 1B
Longmont, CO 80501
Tel: 303-772-3342
Connecticut
Impact Technical Sales
294 Cutlers Farm Road
Monroe, CT 06468
Tel: 203-268-7229
Delaware
Delta Technical Sales Inc.
122 North York Road, Suite 9
Hatboro, PA 19040
Tel: 215-957-0600
Florida
Elcom
801 International Parkway, Suite 5066
Lake Mary, FL 32746
Tel: 770-447-8200
Georgia
Elcom
4400 Rivergreen Parkway, Suite 120
Duluth, GA 30096
Tel: 770-447-8200
Idaho
Luscombe Engineering Company Inc. of Utah
6901 Emerald, Suite 206
Boise, ID 83704
Tel: 208-377-1444
Illinois–Northeastern
Oasis Sales
1101 Tonne Road
Elk Grove Village, IL 60007
Tel: 847-640-1850
Illinois–Southern
Stan Clothier Sales
340 North Main Street, Suite 205A
St. Charles, MO 63301
Tel: 636-916-3777
Illinois–Rock Island County
Mel Foster Company
3047 Center Point Road NE, Suite D
Cedar Rapids, IA 52402
Tel: 319-363-6336
Indiana–Indianapolis
Millennium-Alliance Technical Sales
4000 East 96th Street, Suite 160
Indianapolis, IN 46240
Tel: 317-575-4600
Indiana–Kokomo
Millennium-Alliance Technical Sales
2715 S. Albright Rd.
Kokomo, IN 46902
Tel: 765-453-4260
Iowa
Mel Foster Company
3047 Center Point Road NE, Suite D
Cedar Rapids, IA 52402
Tel: 319-363-6336
Kansas
Stan Clothier Sales
10300 West 103rd St., Suite 205
Overland Park, KS 66214
Tel: 913-894-1675
Kentucky
Millennium-Alliance Technical Sales
7155 Post Road
Dublin, OH 43016
Tel: 614-793-9545
Louisiana–Northern
BP Sales
689 W. Renner Rd., Suite 101
Richardson, TX 75080
Tel: 972-234-8438
Louisiana–Southern
BP Sales
10700 Richmond, Suite 150
Houston, TX 77042
Tel: 713-782-4144
Maine
Impact Technical Sales
One New England Executive Park, First Floor
Burlington, MA 01803
Tel: 781-238-8888
Maryland
Third Wave Solutions, Inc.
8335H Guilford Road
Columbia, MD 21046
Tel: 410-290-5990
Massachusetts
Impact Technical Sales
One New England Executive Park
Burlington, MA 01803
Tel: 781-238-8888
Michigan–Eastern
Millennium-Alliance Technical Sales
21800 Haggerty Road, Suite 203
Northville, MI 48167
Tel: 248-305-6700
Michigan–Western
Millennium-Alliance Technical Sales
3343 Creek Ct.
Holland, Michigan 49424
Tel: 619-399-9265
Minnesota
Mel Foster Company
7611 Washington Avenue South
Edina, MN 55439
Tel: 952-941-9790
Mississippi
Elcom
4940 Corporate Dr., Suite C
Huntsville, AL 35805
Tel: 770-447-8200
Missouri–Eastern
Stan Clothier Sales
340 North Main Street, Suite 205A
St. Charles, MO 63301
Tel: 636-916-3777
Distributor and Manufacturing Representatives
Price Quote and Ordering developer.intel.com
206
Missouri–Western
Stan Clothier Sales
10300 West 103rd St., Suite 205
Overland Park, KS 66214
Tel: 913-894-1675
Montana
Luscombe Engineering Company of Utah
5097 South 900 East, Suite 200
Salt Lake City, UT 84117
Tel: 801-268-3434
Nebraska
Stan Clothier Sales
10300 West 103rd St., Suite 205
Overland Park, KS 66214
Tel: 913-894-1675
Nevada–Las Vegas
Addem
8700 E. via de Ventura, Suite 265
Scottsdale, AZ 85258
Tel: 480-421-9270
Nevada–Reno
T3 Technical Sales
3211 Scott Blvd, Suite 201
Santa Clara, CA 95054
Tel: 408-350-3900
New Hampshire
Impact Technical Sales
One New England Executive Park, First Floor
Burlington, MA 01803
Tel: 781-238-8888
New Jersey–Northern
Parallax, Inc.
140 Fell Ct
Hauppauge, NY 11788
Tel: 631-351-1000
New Jersey–Southern
Delta Technical Sales, Inc.
122 North York Road, Suite 9
Hatboro, PA 19040
Tel: 215-957-0600
New Mexico
Addem
6220 East Thomas Rd., Suite 303
Scottsdale, AZ 85251
Tel: 480-421-9270
New York–NY City/Long Island
Parallax, Inc.
140 Fell Ct
Hauppauge, NY 11788
Tel: 631-351-1000
New York–Upstate
Foster & Wager Incorporated
55 Webster Commons Blvd.
Webster, NY 14580
Tel: 585-872-1030
North Carolina
Elcom
15200 Weston Parkway, Suite 104
Cary, NC 27513
Tel: 770-447-8200
North Dakota
Mel Foster Company
7401 East Palomino Road
Sioux Falls, SD 57110
Tel: 605-332-7307
Ohio–Columbus
(Headquarters)
Millennium-Alliance Technical Sales
7155 Post Road
Dublin, OH 43016
Tel: 614-793-9545
Ohio–Cleveland
Millennium-Alliance Technical Sales
6325 Cochran Road, Suite 7
Solon, OH 44139
Tel: 440-349-6600
Oklahoma
BP Sales
689 West Renner Rd., Suite 101
Richardson, TX 75080
Tel: 972-234-8438
Oregon
SiFore Technical Sales, LLC
9115 SW Oleson Rd.
Suite 140
Portland, OR 97223
Tel: 503-977-6267
Pennsylvania–Eastern
Delta Technical Sales Inc.
122 North York Road, Suite 9
Hatboro, PA 19040
Tel: 215-957-0600
Pennsylvania–Western
Millennium Technical Sales
6325 Cochran Rd., Suite 7
Solon, OH 44139
Tel: 440-349-6600
Rhode Island
Impact Technical Sales
One New England Executive Park, First Floor
Burlington, MA 01803
Tel: 781-238-8888
South Carolina
Elcom
15200 Weston Parkway, Suite 104
Cary, NC 27513
Tel: 770-447-8200
South Dakota
Mel Foster Company
7401 East Palomino Road
Sioux Falls, SD 57110
Tel: 605-332-7307
Tennessee–Eastern
Elcom
4400 Rivergreen Parkway, Suite 120
Duluth, GA 30096
Tel: 770-447-8200
Tennessee–Western
Elcom
4940 Corporate Dr., Suite C
Huntsville, AL 35805
Tel: 770-447-8200
Texas–El Paso Only
Addem
8700 E. via de Ventura, Suite 265
Scottsdale, AZ 85258
Tel: 480-421-9270
Texas–Western
BP Sales
8200 MoPac Expressway, Suite 185
Austin, TX 78759
Tel: 512-346-9186
Texas–North
BP Sales
689 West Renner Rd., Suite 101
Richardson, TX 75080
Tel: 972-234-8438
Texas–Southern
BP Sales
10700 Richmond, Suite 150
Houston, TX 77042
Tel: 713-782-4144
Utah
Luscombe Engineering Company Inc. of Utah
5097 South 900 East, Suite 200
Salt Lake City, UT 84117
Tel: 801-268-3434
Vermont
Impact Technical Sales
One New England Executive Park, First Floor
Burlington, MA 01803
Tel: 781-238-8888
Virginia
Third Wave Solutions, Inc.
8335H Guilford Road
Columbia, MD 21046
Tel: 410-290-5990
Washington
SiFore Technical Sales, LLC
11100 NE 8th Street, Suite 600
Bellevue, WA 98004
Tel: 425-990-4701
Washington, D.C.
Third Wave Solutions, Inc.
8335H Guilford Road
Columbia, MD 21046
Tel: 410-290-5990
West Virginia–Eastern
Third Wave Solutions, Inc.
8335H Guilford Road
Columbia, MD 21046
Tel: 410-290-5990
West Virginia–Western
Millennium Technical Sales
6325 Cochran Road, Suite 7
Solon, OH 44139
Tel: 440-349-6600
Wisconsin–Eastern
Oasis Sales
N27 W23953 Paul Road
Suite 102
Pewaukee, WI 53072
Tel: 262-523-9045
Wisconsin–Northwest
Mel Foster Company
7611 Washington Avenue South
Edina, MN 55439
Tel: 952-941-9790
Wyoming
Luscombe Engineering Co. Inc. of Colorado
1500 Kansas Avenue, Suite 1B
Longmont, CO 80501
Tel: 303-772-3342
Canadian Manufacturer’s
Representative
Apera Technologies Inc.
905 Michele-Bohec
Blainville, Quebec, J7C5L6, Canada
450-971-6969
www.aperatech.com
European Manufacturer’s
Representatives
Belgium
IC-4S
Interleuvenlaan 62
3001 Leuven
Belgium
Tel: 32-16-400025
Denmark
Cedar Scandinavia AB
Fagerstagatan 15, 5tr
P.O. Box 8204
16308 Spanga
Sweden
Tel: 46-(8)-56472583
Finland
Cedar Scandinavia AB
Fagerstagatan 15, 5tr
P.O. Box 8204
16308 Spanga
Sweden
Tel: 46-(8)-56472583
France
A-Z Design Electronics
8, rue Rene Cassin
91300 Massy
France
Tel: 33-1-69534242
Germany
Prisma Sales Service GmbH
Foehrenweg 26
D-29308 Winsen/Aller
Germany
Tel: 49-5143-912540
Ireland
Cedar Technologies Ltd.
Thame House; Thame Road
Office Suite 1
Haddenham
Buckinghamshire
United Kingdom
HP178HU
Tel: 44-1844-296800
Italy
Overtek s.r.l.
Via Ludovico di Breme, 46
20156 Milano, MI
Italy
Tel: 39-02-3088566
Luxemburg
IC-4S
Interleuvenlaan 62
3001 Leuven
Belgium
Tel: 32-16-400025
Netherlands
IC-4S
Interleuvenlaan 62
3001 Leuven
Belgium
Tel: 32-16-400025
Norway
Cedar Scandinavia AB
Fagerstagatan 15, 5tr
P.O. Box 8204
16308 Spanga
Sweden
Tel: 46-(8)-56472583
Portugal
IC-4S
PTM, Isaac Newton 2
28760 Tres Cantos (Madrid)
Spain
Tel: 34-918041495
Spain
IC-4S
PTM, Isaac Newton 2
28760 Tres Cantos (Madrid)
Spain
Tel: 34-918041495
Sweden
Cedar Scandinavia AB
Fagerstagatan 15, 5tr
P.O. Box 8204
16308 Spanga
Sweden
Tel: 46-(8)-56472583
Switzerland
Prisma Sales Service GmbH
Foehrenweg 26
D-29308 Winsen/Aller
Germany
Tel: 49-5143-912540
United Kingdom
Cedar Technologies Ltd.
Thame House; Thame Road
Office Suite 1
Haddenham
Buckinghamshire
United Kingdom
HP178HU
Tel: 44-1844-296800
Distributor and Manufacturing Representatives
developer.intel.com Price Quote and Ordering
207
Latin American
Manufacturer’s
Representatives
Brazil
Hitech Electronica
Rua Cunha Gago, 700
9 andar
São Paulo, SP
Brazil 05421-001
Tel: 55-11-3094-3844
Japanese Manufacturer’s
Representatives
Nagano Japan Radio Co., Ltd.
Intel Consulting Group
5-33-8 Sendagaya shibuya-ku
Tokyo
Japan
151-0051
813-5360-4555
Novatec Corporation
2-19-2 Nishi-Gotanda
Shinagawa-ku
Tokyo
Japan 141-0031
813-5759-261
141-0031
813-5759-2612
Price Quote and Ordering developer.intel.com
208
The Communications
and Embedded Products
Source Book 2004
Covering the spectrum
of Intel communications
products
The Communications and Embedded Products Source Book May 2004
Intel Corporation
United States and Canada
Intel Corporation
Robert Noyce Building
2200 Mission College Boulevard
P.O. Box 58119
Santa Clara, CA 95052-8119
USA
Phone: (408) 765-8080
Europe
Intel Corporation (UK) Ltd.
Pipers Way
Swindon
Wiltshire SN3 1RJ
UK
Phone:
England (44) 1793 403 000
France (33) 1 4694 7171
Germany (49) 89 99143 0
Italy (39) 02 575 441
Israel (972) 2 589 7111
Netherlands (31) 20 659 1800
Asia Pacific
Intel Semiconductor Ltd.
32/F Two Pacific Place
88 Queensway, Central
Hong Kong SAR
Phone: (852) 2844-4555
Japan
Intel Kabushiki Kaisha
P.O. Box 300-8603 Tsukuba-gakuen
5-6 Tokodai, Tsukuba-shi
Ibaraki-ken 300-2635
Japan
Phone: (81) 298-47-8511
South America
Intel Semicondutores do Brasil
Av. Dr Chucri Zaidan, 940- 10th floor
Market Place Tower II
04583-906
Sao Paulo-SP-Brasil
Phone: (55) 11 3365 5500
Copyright © 2004 Intel Corporation
Intel, and the Intel logo are registered
trademarks of Intel Corporation.
* Other names and brands may be claimed
as the property of others.
Printed in USA/2004/10K/MD/HP
Order No. 272676-012
NOTE:
PLEASE ADJUST SPINE TO PROPER WIDTH